Hollosi Information eXchange /HIX/
HIX TIPP 2460
Copyright (C) HIX
1997-04-22
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 brain drain (mind)  57 sor     (cikkei)
2 a vegtelen (mind)  11 sor     (cikkei)
3 Re: Lakaskolcson (mind)  14 sor     (cikkei)
4 Re: Kolcson lakasvasarlashoz (mind)  53 sor     (cikkei)
5 Dalszovegek a net-en (mind)  14 sor     (cikkei)
6 Ket kolzsvari telefonszam (mind)  11 sor     (cikkei)
7 Re: huszar (mind)  10 sor     (cikkei)
8 2455 (mind)  5 sor     (cikkei)
9 Au Pair cim (mind)  11 sor     (cikkei)
10 re: kerdes (mind)  15 sor     (cikkei)
11 SOS! laktoz/galaktoz (mind)  5 sor     (cikkei)
12 Re: Feltalalo+FF film (mind)  20 sor     (cikkei)
13 Re: radon (meres) (mind)  11 sor     (cikkei)
14 Ford Escort RS2000 (mind)  27 sor     (cikkei)
15 [HIRDETES] Lakas Miskolcon (mind)  6 sor     (cikkei)
16 [HIRDETES] Lakas Miskolcon (mind)  6 sor     (cikkei)
17 Elado-csere (mind)  20 sor     (cikkei)
18 Kesik a Fold / Bence (mind)  34 sor     (cikkei)
19 Internet Cafe N.Y.-ban (?) (mind)  9 sor     (cikkei)
20 Re: Szenanatha (mind)  28 sor     (cikkei)
21 Kempinget keresek Olaszorszagban (mind)  14 sor     (cikkei)
22 Re: milyen hosszu egy nap... (mind)  11 sor     (cikkei)
23 San Diego (mind)  12 sor     (cikkei)
24 vasarlas hitelkartyara (mind)  22 sor     (cikkei)
25 Re: elem + bankkartya (mind)  28 sor     (cikkei)
26 FF nagyitas - utoljara (mind)  28 sor     (cikkei)
27 Taviranyitot keresek Sony hifitoronyhoz (mind)  7 sor     (cikkei)
28 REQ: Epitoipari arak (mind)  13 sor     (cikkei)
29 Re:olasz??? honnan jon ez? (mind)  4 sor     (cikkei)
30 Re:Kolcson lakasv.-adocsalas??? (mind)  45 sor     (cikkei)
31 Maganszemely+ Tozsde (mind)  5 sor     (cikkei)
32 munkalehetoseg (mind)  3 sor     (cikkei)
33 Re: Traubisoda (mind)  13 sor     (cikkei)
34 notebook-ot keresek (mind)  12 sor     (cikkei)
35 Huszar...? (mind)  17 sor     (cikkei)
36 Re: beton, vakolat (mind)  35 sor     (cikkei)
37 Keresztrejtveny (mind)  11 sor     (cikkei)
38 Zenesz (mind)  1 sor     (cikkei)
39 Magyar Narancs (mind)  1 sor     (cikkei)
40 Kesik a Fold ? Erkelyraccsal merve. (mind)  13 sor     (cikkei)
41 Segitseg (mind)  13 sor     (cikkei)
42 filmzene (mind)  13 sor     (cikkei)
43 tuszelep (mind)  13 sor     (cikkei)
44 Kobanyai Zenei Studio erdekelne... (mind)  12 sor     (cikkei)
45 taviranyitok (mind)  15 sor     (cikkei)
46 PIC(i)-problema (mind)  13 sor     (cikkei)
47 Re: Kesik a fold? (mind)  20 sor     (cikkei)
48 Telefon (mind)  7 sor     (cikkei)
49 TRAUBISODA es LAKASEPITES kolcson, "segely" (mind)  28 sor     (cikkei)
50 Nulladik tipusu talalkozasok zeneje? (mind)  8 sor     (cikkei)
51 Just a pretty day... (mind)  9 sor     (cikkei)
52 Ceginfo erdekel (mind)  13 sor     (cikkei)
53 re olasz??? honnan jon ez?+FFnagyitas+Ugyifogyi:-( (mind)  40 sor     (cikkei)
54 [HIRDETES] HDD elado (mind)  1 sor     (cikkei)
55 [HIRDETES] 386dx40 elado (mind)  10 sor     (cikkei)
56 [HIRDETES] LEGKONDI elado (mind)  39 sor     (cikkei)
57 [HIRDETES] *** Elado mobiltelefon *** (mind)  10 sor     (cikkei)
58 [HIRDETES] Pc hardware elado (mind)  16 sor     (cikkei)
59 [HIRDETES] HONDA CM-400/A elado!!!!! (mind)  4 sor     (cikkei)
60 [HIRDETES] HONDA VT250 F (mind)  12 sor     (cikkei)
61 [HIRDETES] Elado Fiat Regatta (mind)  5 sor     (cikkei)
62 [HIRDETES] AU-PAIR LENNEK AZ USA-BAN (mind)  7 sor     (cikkei)
63 [HIRDETES] 4x CDROM elado (mind)  6 sor     (cikkei)
64 [HIRDETES] Kompakt fenycso... (mind)  17 sor     (cikkei)
65 [HIRDETES] JET SKY (mind)  7 sor     (cikkei)
66 [HIRDETES] elado lakas (mind)  10 sor     (cikkei)
67 [HIRDETES] 60 l-es hutoszekreny (mind)  3 sor     (cikkei)
68 [HIRDETES] hirdetes (mind)  17 sor     (cikkei)
69 [HIRDETES] 14,4-es RockWell belso elado !!! (mind)  39 sor     (cikkei)

+ - brain drain (mind) VÁLASZ  Feladó: (cikkei)

Ertem, hogy ez a felhivas jot akar, de valaszthattak volna szerencsesebb
nyelvezetet is. Mit szolnak hozza a tipp-olvasok, a "Brain Drain volt,
jelenlegi es jovobeli aldozatai" ? Az "agyelszivas" kifejezes rossz
emlekeket ebreszt bennem. Ennek is megvan a maga tortenete.

A kandidatusi ertekezesemen dolgoztam a hetvenes evek vegen amikor nagy 
csodalkozasomra megkaptam sok szaz kervenyezo kozul a DAAD (Deutscher
Akademischer Austauschdienst) egyeves osztondijat NSZK-ba. Egyszercsak 
felhivott egy ismeretlen a munkahelyemen es el ohajtott velem beszelgetni
az utrol. Teljesen zoldfulu voltam az eg vilagon semmit sem gyanitottam.
A kituzott idoben megjelent egy meghizott boxolohoz hasonlo egyen, ugyan
nem borkabatban volt, de draga oltonyben, nyakkendovel es ket vastag
aranygyuruvel. Mar nem emlekszem milyen nevet es munkahelyet mondott be
de ugye mindegy is, mert rogton kiderult, hogy a belugytol van, tobb oran
keresztul faggatott, azt akarta firtatni mennyire all szandekomban lele-
celni. Hat o hasznalta a brain drain kifejezest igen gyakran es nyomate-
kosan. Arra emlekszem, hogy ugy hasznalta a szot mintha tenylegesen csovel
kiszivnak majd a kapitalistak az agyamat almamban es aztan ott allok
megfurodve. A dolog nem maradt meg annyiban, a hazamnak kellett volna par 
szivesseget is tenni. Ketsegbeesetten szabadkoztam, hogy en nem vagyok
Bond tipus hogy haztetokon ugraljak, vadul szaguldozzak autokban. Vegul
is megegyeztunk, hogy eleg ha nyitva tartom a szememet es majd ott megke-
resnek es tovabb beszelgetunk az ugyrol. Be voltam rezelve alaposan es
megkerdeztem a csalad egyik kozeli baratjat aki magas allasu kutato volt
mi is az abra. Azt a tanacsot kaptam hogy meg kell jatszani a kutatasa-
nak elo buggyant tudost, ekkor van az embernek legnagyobb eselye arra,
hogy beken hagyjak. Szo sincs arrol, hogy engem valami miatt kipeceztek
volna, ez normal eljaras minden osztondijasnal.
A Muszkli Miska hiaba is szovegelt akkor meg hatarozottan meg voltam 
gyozodve arrol, hogy sose tudnek lelepni. Egyreszt azt gondoltam mi 
is lenne kis hazankbol ha a legkivalobbak itthagynak (ebbe a
fiatalsagra jellemzo onteltseggel magamat is beleszamitottam), masreszt
pedig az a sok propaganda amivel tomtek az embert nem maradt nyom nelkul,
azt hittem hogy a magyarorszagi elet minosege jobb mint a nyugati.

Az osztondijas tanulmanyut alatt aztan megismerkedhettem a valosaggal.
Tulajdonkeppen nem is a privat jolet az, ami egy tudost legjobban vonz,
hanem a lenyegesen jobb munkafeltetelek. Az egzisztencializmus is egyre
jobban terjed, mindenkinek az a legfontosabb, hogy a sajat joleten 
munkalkodjon, a politikusok dolga olyan  rendszert kialakitani, hogy az
egyeni erdekek szummaja dinamikusan fejlodo gazdasagi-tarsadalmi eletre
vezessen. Eljon az az ido amikor megerti az ember, hogy ugyan a dolgok
jo iranyba haladnak, de olyan lassan, hogy az en rovid eletem alatt nem
szamithatok jelentos javulasra, ekkor erlelodik meg a kulfoldi munka-
vallalas gondolata. Ma mar nem kell olyan drasztikusan feltepni a gyo-
kereket es "disszidalni" mint nekem kellett, meg valoszinuleg azok az
okok sincsenek meg amik engem kenyszeritettek. Lenyegesen konnyebb vissza-
telepulni, kozos vallalatokat alapitani. A tudas, a know how atvitele
gyorsabb az ilyen kozvetlen uton, mintha az orszagnak kellene kifejlesz-
tenie. Biztos, hogy sokan sajnalkoznak mennyivel elobbre lenne Magyaror-
szag, ha az a sok kivalo ember otthon maradt volna. En azt hiszem, hogy
ezek tobbsege nem tudta volna otthon kifutni formajat, es az emberiseg 
lenne szegenyebb. Az en szemszogombol nezve inkabb logikusabbnak latszik
azon munkalkodni, hogyan lehet a kulfoldi magyaroknal felhalmozodott tu-
dast, toket, kezdemenyezokeszseget hazavezetni. Kevesek jutnak olyan 
magasra mint Soros, de a sok kicsi  is sokra menne. 
Viking
+ - a vegtelen (mind) VÁLASZ  Feladó: (cikkei)

>Nemreg volt a magyar TV-ben egy musor Ponori Thewrewk Aurel csillagaszrol.
>    Abban meseltek, hogy O minden delben megjeloli az erkelyracs egyik rujda
>    csucsanak arnyekat az erkely koven.  Mutattak a az erkelyre rajzolt
>    ponthalmazt, amely egy szep kover nyolcast formazott.  A nyolcas
>    hasassagat (szerintem) a nap hosszanak ingadozasa okozza.
>    Az sajnos nem latszott, hogy a vonal nem sajat magaba zarodna - ez a
>    szokomasodpercce kumulalodo hiba szamlajara irhato jelenseg.
Lehet e ennek az arnyek irta  fekvo nyolcasnak valami koze a vegtelent szimboli
zalo fekvo nyolcas alaku jelhez?

BLajos
+ - Re: Lakaskolcson (mind) VÁLASZ  Feladó: (cikkei)

Ez uton is szeretnek elnezest kerni Gekko-tol indulatos levelem miatt.

Nem gondoltam alaposan vegig mit irok. Nem  ismerem az o helyzetet, illetve
sajat ado, TB, egyeb serelmeim miatt indulatosabb voltam a kelletenel.

Nem akarok utolag magyarazkodni, de en inkabb a nemadozok, nem tebezokrol
akartam irni.

Megegyszer sajnalom, hogy indulataim ot talaltak el, s ezert ismet elnezest
kerek.

By: Gusty

<< Send E-mail to:  >>
+ - Re: Kolcson lakasvasarlashoz (mind) VÁLASZ  Feladó: (cikkei)

Haho!

Kezd erdekelni a dolog...

> <flame>
> 
> >Remelem nem kapsz sehol kolcsont!
> >
> >Gondolom leszunk meg paran /foleg kozalkalmazottak/, akiket
> >felhaborit csalassal kerkedo leveled. Mig az igen gyengen
> >fizetett kozalkalmazott szazezrekben merheto adot es TB-t fizet,
> >addig masok lopnak, csalnak, sot ezt oly termeszetesnek tekintik,
> >hogy nyiltan vallaljak a vilag nyilvanossaga elott...
> 
> es igy tovabb...
> </flame>

En egy kicsit elnezobb vagyok a fenti magatartassal
szemben.

A helyzetet a kovetkezokeppen latom:
Adva van nehany millio polgar es egy hatosag. A hatosag
dilettans modon pazarolja a penzt, es ennek megfeleloen
szigoru adotorvenyeket hoz, hogy jovore is legyen mibol 
pazarolnia. A nehany millio polgar kozul nehany olyan
helyzetben van, hogy meg tudja kerulni a torvenyt,
masok viszont teljesen "be vannak szoritva".

Az erkolcsi dilemma az adocsalas lehetosegevel rendelkezo
polgarok szamara az, hogy mennyit art o azzal, ha
az egyenes ut helyett az adocsalast valasztja. Sokan
meg vannak gyozodve arrol, hogy ha mindenki becsuletesen
befizetne az adojat, akkor a tobbletpenz nem a nyugdijasok
zsebeben kotne ki, hanem tocsikoknal, rozsadombi villakban,
bankemberek premiumaiban. Vagyis az adocsalassal a polgar
nem az embertarsainak art, hanem torvenytelen modszerrel
vedekezik egy antihumanus rendszerrel szemben.

>sot ezt oly termeszetesnek tekintik,
>hogy nyiltan vallaljak a vilag nyilvanossaga elott...

Ez valoban igy van, mert a "vilag nyilvanossaga" szinten
embertelennek tartja a jelenlegi torvenyeket, es azt
iteli el, aki a torvenyeket hozza, nem pedig azt, aki
azokat megszegi.

Az orszag egesze szempontjabol borzasztoan karos, hogy
a tarsadalom ket, egymas ellen kuzdo retegre oszlik, es
a modszerek egyre ravaszabbak, attekinthetetlenek lesznek.

-- 
Bye / MfG / Udv
                                   Hosszu Peter
+ - Dalszovegek a net-en (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tipp-elok!

Orommel tapasztaltam, hogy kedvenc dalszovegeim megtalalhatok a 

http://umann.hu.net/dalok  

alatt. Kezdeti lelkesedesem hamar elszallt, mert tobbszori probalkozasra
sem sikerult hozzafernem az emlitett laphoz, a gep csak keresgel es allitja, 
hogy : Transferring data from...., de semmi sem tortenik.
Van-e valaki a vonalban, aki tudja mi az oka a sikertelensegnek, vagy tudna
valami tippet adni mi mas modom lehetne hozzaferni az emlitett dalokhoz.
Koszonettel,

Zsuzsa
+ - Ket kolzsvari telefonszam (mind) VÁLASZ  Feladó: (cikkei)

Megtenne-e egy (vagy tobb :-)) ) kolzsvari TIPP olvaso, hogy a Magyari 
Andras (Babes-Bolyai Egyetem rektora) es Egyed Akos magantelefonszamat 
megnezne es magan emilben elkuldene nekem? (Kollegaknak kellene).

Elore is koszonettel
BFS
+ - Re: huszar (mind) VÁLASZ  Feladó: (cikkei)

Tamas kerdezi:
> Gotz Laszlo es Padanyi Viktor (meg tobb mas nem szocialista
> tortenelmesz) a huszart a sumerbol vezeti le: assza=lo',
> -aszo'=legelo'', aszo'fo''=lolegelo, assza ur=lovas katona  -> husza'r
> Erdekelne engem is a tema?

Valaszom: igen, teged is erdekelne a tema...


---peze
+ - 2455 (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPPelok! a 2455-os szamom elveszett, meg tudna valaki kuldeni?
Kossz
Tamas

- sent via an evaluation copy of BulkRate (unregistered).
+ - Au Pair cim (mind) VÁLASZ  Feladó: (cikkei)

Valaki a napokban keresett Au Pair ugynokseg cimet, hat itt van egy:

Solihull Au Pair and Nanny Agency
1565 Stratford rd. Hall Green
Birmingham B28 9JA
Tel: 0121 733-6444; Fax: 0121 733-6555


Sok szerencset,

Necc Elek (az ezermester)
+ - re: kerdes (mind) VÁLASZ  Feladó: (cikkei)

NeTuddKi
Kedves Tipp-olvasok!

Magyarorszagon elek, es rokonaimat szeretnem az USA-ban felkutatni.
Rendelkezesre allo adataim:

Nev, lakohelyenek neve (de utcanev mar nincs), valamint egy 
telefonszam.

A problema az, hogy ezek az adatok az 1950-es evekbol szarmaznak, es 
nem tudom, hogy hol lehetne utananezni ilyen regi adatoknak.

Valaszokat az  cimre szeretnem kerni.

Elore is koszonom.
+ - SOS! laktoz/galaktoz (mind) VÁLASZ  Feladó: (cikkei)

Egy olyan tablazatra volna szuksegem, amelyben laktoz/ D-galaktoz
koncentraciok vannak feltuntetve elelmiszerekben (foleg gyumolcsokben).
Ha vki tud ilyet, vagy forrast, ahol ez fellelheto, legyszi magan
e-mailben valaszoljon. Koszi a segitseget:
O.E.
+ - Re: Feltalalo+FF film (mind) VÁLASZ  Feladó: (cikkei)

> Ki a legsikeresebb feltalalo a vilagon,kinek van a legtobb
> bejelentett szabadalma?
Ugy hallottam, egy japan pasas. Hivatasos feltalalo, valami 2000
szabadalma van...


FF-nagyitas, ha mas meg nem irta meg:

A kiskoruti Ofotertben (Astorianal) fenyes Kodak FF papirra csinalnak
nagyitast.
Ezenkivul az MTI laborban (Gyulai Pal u 6, a Rakoczi utrol nyilik).
Egyik sem nagyon olcso, de jok.
Tipp: Ha Ilford XP2-es filmre fotozol, szines laborban is elohivathatod,
noha FF a film.


Udv, 
Norbert

Norbert
+ - Re: radon (meres) (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP-elok!

Olvasva az elozo szamokban a radonrol, szeretnem a segitsegeteket kerni.

A haz amiben 3 eve Debrecenben lakunk tudomasom szerint a hatvanas evekben
epult, salakbetonbol.
A most 20 honapos kisfiam daganatos megbetegedese miatt, szerentem megtudni
a lakas radioaktivitasanak szintjet. Tud-e valaki ennek merhetove teteleben
segitseget nyujtani??

Koszonettel: Sipos Istvan
+ - Ford Escort RS2000 (mind) VÁLASZ  Feladó: (cikkei)

Szia,

Ugyan nem tudom, melyik az RS2000,
de van egy Escortom, 1968-69, 1100cc, Coupe deLuxe.
Elso szeria, meg zoll-os csavarokkal, szepen felujitva.

(Egyebkent pont elado, Pesten)

Udv,

Norbert

*******************************************
> Felado : Szabo Zoltan
> E-mail :  [Hungary]
> Temakor: Ford Escort RS2000 markatars ( 8 sor )

Hello!

Olyan markatarsakat keresnek, Magyarorszagon, akiknek regitipusu
(1975-1980) Ford Escort RS2000 van a tulajdonaban, vagy olyan emberkek
jelentkezeset akiknek barati vagy ismeretsegi korukben van valakinek.

Koszonom: Zoli
e-mail:

******************************************
+ - [HIRDETES] Lakas Miskolcon (mind) VÁLASZ  Feladó: (cikkei)

Elado egy 28m2-es garzonlakas Miskolcon, az Avas-Delen. Buszmegallohoz
kozel, telefon, vizora, hevederzar, egyebek van. Foldszint, nem kell
sokat gyalogolni, es az erkelyrol belatni fel Miskolcot.

Erdeklodok ide irhatnak:
mailto:
+ - [HIRDETES] Lakas Miskolcon (mind) VÁLASZ  Feladó: (cikkei)

Elado egy 28m2-es garzonlakas Miskolcon, az Avas-Delen. Buszmegallohoz
kozel, telefon, vizora, hevederzar, egyebek van. Foldszint, nem kell
sokat gyalogolni, es az erkelyrol belatni fel Miskolcot.

Erdeklodok ide irhatnak:
mailto:
+ - Elado-csere (mind) VÁLASZ  Feladó: (cikkei)

Kovetkezo elado vagy cseretargyaim vannak:
-Canon kevero mikrofon camcorderhez, hangkartyahoz (orig. 7500,-Ft) egy
walkmanrol tudsz pl.hatterzenet felvenni- nem kell utolag hangositani.
-Camcorderhez RF-modulator (3500,-ft)
-Blaupunkt , Panasonic NV-G101-102-103 camcorderhez halozati adapter
tolto/kisuto (10500,-Ft)
-Toshiba W83 videomagnohoz teljes tapresz -uj, nem kiszerelt. 8000,-Ft
-Service manual-ok (kapcsrajzok, szervizkonyvek), videomagnokhoz,
camcorderekhez (2500,-Ft/db)
-Kinon automata compact, motoros, vakus fenykepezogep. 6000,-Ft
-Hanimex AF,motor datumozos compact fenykepezvgep, 800,-Ft
-Samsung f/f hercules monitor+kartya. 4000,-Ft
-82 gombos billentyuzet 1000,-ft
-SONY MZ-E3 uj minidisk (MD) lejatszo (orig. 50.000,-Ft bolti ar 95
e.Ft!)
Cserekent erdekel:
-CD-ROM meghajto.
-Mini toronyhaz, tappal
-Pentium alaplap, processzorral stb.
KT. Tel.:163 7968
+ - Kesik a Fold / Bence (mind) VÁLASZ  Feladó: (cikkei)

Kesik a Fold:
A Fold forgasi sebessegenek csokkenese 0.0016 mp/evszazad. Ez nem indo-
kolna az ora allitasat egy masodperccel. Itt valami mas van a hatter-
ben. Egyszer regen az orosz es az amerikai ido eltert egy hangyafasz-
nyival. Az okosabb oldal engedett. Ki lehet talalni melyik. (:-)
Nem celszeru egyebkent az ido definiciojat modositani, mert minden mas
is valtozik vele egyutt. Valoszinuleg nem kellene az autok sebessegmero
orajat atallitani, de vannak meresek, amibe belejatszana egy ilyen val-
toztatas. Nem ugrik be a masodperc allandoja csak a metere. Az mint-
ha 1,650,763.73 lenne. Az idot ennel harom jeggyel pontosabban tud-
juk merni! Eppen Bay Zoltan kezdemenyezesere a metert az idore es a
fenysebessegre vezetik vissza, mert ugy pontosabb. Atment ez a valtoz-
tatas az SI rendszerbe? 
Ha ma megmernek ujra a Parizs mellett orzott meterrud hosszat, es
elterest tapasztalnanak a fent emlitett szamhoz kepest, akkor nem azt
mondanak, hogy a meter definicioja megvaltozott, hanem azt, hogy AZ
a meterrud nem pontosoan egy meter tobbe. Ez fontos! Ha legrozitunk
egy rendszert, akkor ahhoz ragaszkodni kell. Amig egy ujabb nem jon.
Ugyanigy a nap sem egy nap tobbe, miutan attertek a masodperc
cezium-atomoras definiciojara.
Bar az atomi tomegegyseg ugy van definialva, hogy Robinson is rep-
rodukalni tudja - felteve, hogy van egy jol felszerelt laboratoriuma -
a kilogramm meg mindig annak a 79 millimeter atmeroju es 79 millimeter
magas platinahengernek a tomege, amit a fenti helyen oriznek. Ugyan sok
pontos masolata letezik szinte minden orszagban, de ha egy terrorista
csoport ellopna az osszeset, itt allnank megfurodve kilogramm nelkul.

Valaki mondokat keresett Bencerol. Halvanyan dereng valami:
Volt egyszer egy kemence,
Belebujt a kis Bence,
 ...ez a resz nem jut az eszembe, csak a vege:
Jol elverte kis Bencet.

Pupak
+ - Internet Cafe N.Y.-ban (?) (mind) VÁLASZ  Feladó: (cikkei)

Kedves (new yorki) TIPPelok!

Egy new yorki ismerosom mostanaban lett off-line es mint kiderult meg 
sohasem hallott az Internet Cafe-k intezmenyerol. Tudnatok segiteni 
egy(-ket) cimmel? (A 82. utcaban lakik ...)

Koszi!

Udv, Valentin
+ - Re: Szenanatha (mind) VÁLASZ  Feladó: (cikkei)

  wrote:

>Csipai Norbert- nak uzenem, hogy nem lehet E-mail- t tovabbitani reszere.
>Ha meg aktualis a szenanatha (gondolom igen), olvassa el itt.
Azt hittem en benazok... En ezt irtam neki:

Szia!
>Kicsit gondban vagyok, es ezert kerdesem van:
>Szenanathas vagyok, es eleg sok dologra rendelkezem szep kifejlett
>allergiaval: viragpor, fu-por, hazipor, atka, stb..

>Kerdes: PARASITSAK-E a lakasban?

>IGEN - mert a parasabb levego csokkenti a szallo port...
>NEM - mert az atkaknak taptalaj a nedves kornyezet...
Nekem jot tett a parologtatas...

>Szoval vajon melyik a dominans ezek kozul? Es ugy egyebkent kinek mi a
>tapasztalata a szenanathaval? Letezik ra valoban mukodo gyogymod, vagy
>eletem vegeig igy maradok, napi egy Claritine-nal?
En Zaditennel kezdtem- bika de ki is ut. Volt nehany evem Claritine-al
de a vegen mar nem hasznalt-most megint a Zaditennel tartok... Kozben
volt egy akupunkturas terapiam de igazabol nem hasznalt. A heten az
orvosom un. homeopatias kezelesemet kezdi el. Errol az alternativ
modszerrol keveset tudok ahhoz hogy en beszeljek rola, erdekes de en
mar nem remenykedem. Vegig fogjuk tusszogni az eletunket!
By:
    -=PT=-
+ - Kempinget keresek Olaszorszagban (mind) VÁLASZ  Feladó: (cikkei)

Szervusztok!

Nyaron Olaszorszagba indulunk autoval. Szallaskent
Kempingeket szeretnenk hasznalni. A celallomas
Rimini kornyeke lenne. Orommel vennenk olyan tippeket,
amelyek olyan kempingeket ajanlanak, amely viszonylag 
olcso es jo, emellet a tenger sincs tul messze.

Elore is koszonom.
A tippeket elsosorban E-mail-en keresztul varom.
-- 
Jako, Attila )
ill.
Antal, Balazs )
+ - Re: milyen hosszu egy nap... (mind) VÁLASZ  Feladó: (cikkei)

> Egy inflalodo mertekegyseg teljesseggel hasznalhatatlan. Van csillagasz
> vagy fizikus a vonalban?

  Van.
  Amennyire en tudom, a Fold forgasa nem tulzottan stabil (egyreszt
precesszal mert a forgas tengelye nem esik egybe a tehetetlensegi
tengelyevel, sot a magnesessel sem, masreszt a belseje 'lotyog' mivel
folyekony). Szoval nem igazan inflalodik, hanem bizonytalan. Ezt idonkent
korrigalni kell.

Gyula
+ - San Diego (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tipp-elok !
Majus 1-tol 10-ig San Diego-ban leszek. Olyan valakit
keresek aki ismeros arrafele (pl. ott el) es van otlete 
olcso szallas ill. olcso autoberles ugyben.
Egy olyan jotet lelek levelet is varom aki az elobbiekben
ugyan segiteni nem tud, de akit ott helyben felhivhatok
ha valami elore nem lathato dolog tortenne (pl. baleset)
es aki segiteni tudna a helyi ugyintezesben (nagyon remelem
hogy azert erre nem fog sor kerulni).
Koszi,
Ko:ke'ny Tibor
)
+ - vasarlas hitelkartyara (mind) VÁLASZ  Feladó: (cikkei)

Hat azert ez tulzas szerintem.  Mert ha csak lelested a szamot, es utana
: pl netes rendelesben akarod hasznalni, akkor ez valoszinuleg olyan
: rendeles, ahol egy cimet is meg kell adnod, ahova aztan kiszallitjak az
: arut.  Es igy mar kapasbol nem nyomtalan az ugy.  Idovel a tulajdonos ra
: fog jonni, hogy valami nem stimmel, es akkor eleg konnyu lesz
: visszakeresni teged.


  Ebben igazad van, de ha valaki ezt nagyban akarja csinalni, akkor nem nagy
 cucc kiberelni valami postafiokot valahol es azt megadni kiszallitasi cimnek.
 Az aruert ugysem kell fizetni kezhezvetelkor, szoval meg ezzel sem lenne
 gondja.
============
Amikor kiveszi az ember a postafiokot, mindenfele iratot kernek tole az
adataival. Igaz, ezeket is lehet hamisitani.
Errefele nalunk az ilyesmi postai csalasnak szamit, szovetsegi bun, sulyos
evtizedeket lehet kapni erte, es nagyon hamar es konnyeden le lehet bukni vele.
90%-os az eliteltetesi ara'ny. Es olyan maceras.... nota bene, egy atlagos
benzinkutrablas csak 12 masodpercig tart es nem kell hosszasan elokeszulni
ra.... 
Sulyok Tamas
Los Angeles
+ - Re: elem + bankkartya (mind) VÁLASZ  Feladó: (cikkei)

Haliho
Ilyen, hogy adatvedelem meg mindig letezik, tehat gyakorlatilag a te 
tuttod nelkul nem kerdezhetik le a lakcimedet, igaz hatosagi 
engedejezes letezik; 
En  tobb szor rendeltem mar egy magyar bankkartyaval itt Angliaban 
arukat, eddig meg mindig kiszalitottak, es en meg fizettem otthon 
forintban, tehat ebben az esetben a ceg egy Angliai cimet kapott, mig 
a magyar banknak csak a budapesti lakhelyem van bejelentve.

Haho 
Barath

Ui: Erdekesseg, egyik baratom sikeresen rendelt egy PowerBook-ot egy 
vadidegen szamlajara. Tortenet: Nyari minka: recepcios egy szalodaban. (A szalo
 persze elege draga ahoz, hogy tudd, hogy aki itt 
kartyaval fizet annak rengeteg penze van a szamlajan, felirja 
titokban az adatokat a kartyarol ( nev, szam, kiadas es lejarat 
datuma, hanyadik sorozat, etc... ) , kesobbiekben megrendeli a gepet a 
szomszedja cimere, idopont megalapodas szerint (mikor szonszed nincs 
otthon) a kiszalito ceg mivel te tudod az atvetelbez szukseges 
informaciokat ( megalapodott jelszo stb...) atveszed a csomagot es mar 
tunsz is el. ) Persze ez sem egy tokeletes bunteny mivel van 
szemtanu...
Mindenkinek felhivom a figyelmet, hogy ez a par sor itt nem 
otletadasul all, hanem azert, hogy  vigyazni kell a kartyainkra, 
a rajta levo nyomtatott informacio is igen ertekes!!!!
Ja, hogy bevedjem magamat itt a hatosagok ellen a srac mar nincs a 
kornyezetemben es nem is tudom, hogy hova tunt.
+ - FF nagyitas - utoljara (mind) VÁLASZ  Feladó: (cikkei)

Gabor,

<Kedves  !
Elnezesed kerem, keson vettem eszre, hogy lemaradt az alairas. (lasd lent)

>Lehet, hogy minden cegrol tudsz rosszat mondani, de nem mindegy, hogy
>evente egyszer szurnak el valamit, vagy mindig. A Porst eddig az
>osszes amator ismerosom negativjait osszekarcolta (en ugyebar nem
Az elmult evekben atlag heti egy alkalommal adtam le anyagot a Porsthoz,
emlekezetem szerint 3x volt bibi. (egyszer felbevagtak egy kockat, de
elotte csinaltak rola egy nagyitast!!!!  ez volt a tekercsen a legjobb kep)
:-( Nem attol, hogy kettevagtak:))

>Persze a profi munkat meg kell fizetni, nem olcso.
Mas, magat a hivatasos fotografusok "professional" laborjanak hirdeto
cegnel ketszer jartam. Az egyik alkalommal a leadott kb. 20 kockabol 18-at
brutalisan osszekarcoltak (meg a nagyitas is kritikan aluli volt). Ja, es
bar csusztak ket napot, expressz dijat szamoltak.

>Kaszas Lacival a Semmelweis utcaban sem volt meg sosem
problemam. Azert az aranyok szamitanak !
Ki fogom probalni. Nekem ezek voltak az aranyok.

Udv,
	Kaplar Balazs

u.i. ezer bocs mindenkitol, hogy nem "maganuton inteztem", ebben a temaban
nem lesz tobb level tolem
+ - Taviranyitot keresek Sony hifitoronyhoz (mind) VÁLASZ  Feladó: (cikkei)

Keresek ujonnan vagy hasznaltan egy Sony hifi rendszer-taviranyitot.
Olyat, amivel bekapcsolhato az erosito es kivalaszthato az input, valamint
tudja iranyitani a tuner, CD lejatszo es a magno alapveto funkcioit.
  Kerem, akinek van ilyen elado, keressen meg emailen vagy telefonon: 216-3301

	Maestro
+ Chaos, a cicamacska!
+ - REQ: Epitoipari arak (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok!

Gyenge pillanatomban epitkezesre szantam ra magam. >:-O
Mivel a terv mar utolso stadiumban van, el kell kezdenem a konkret ismeretek 
gyujtogeteset az arak teren.
Kerdesem az lenne, tudtok-e a neten magyar epitoipari arjegyzeket, kereskedoket
gyartokat, kivitelezoket?
Faxbankos arjegyzekek is erdekelnek!
Tudom, a szamitogep mellol nem fog a hazam felepulni, de ha egy kicsit kevesebb
et kellene utanajarnom, mar megerne!
Koszi!
Udv:Baffia Gyorgy
mailto: 
+ - Re:olasz??? honnan jon ez? (mind) VÁLASZ  Feladó: (cikkei)

Valami tortenesz-,v.nyelvesz-iromanybol tudom, hogy a VLACH nepnevbol
nagyon-nagyon regen keletkezett a VALACH, OLAH, OLASZ. A TALJAN ujabb-
keletu (mint Italia is). Valaki ezt biztosan pontosabban is reszletez-
ni tudja.          Udvozlettel:HFeri
+ - Re:Kolcson lakasv.-adocsalas??? (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!
En is osszeszoritott fenekkel varom a tippeket a lakaskolcsonhoz mert
hasonlo cipoben jarok mint a segitsegkero. 
Na dehogy tipp helyett Gusty-tol csak ezt kapja ... hat ezt ebben a
pillanatban
nehez megallnom igy billentyut ragadtam hogy vedjem egy kicsit a
vallalkozokat.
Eloszor is : aki a minimum SZTK-t fizeti az mar tenyleg csalo :-((( ? Na
NE...
Eleg sok mindent kell meg aztan fizetni ne menjunk most bele reszletesen,
de meg a minimummal szamolva az sem keves! Nyugodj meg ha olyan nagy csalo
ugyis megfogjak, mint a tocsikokat ! Ha meg nem, legalabb lakast hadd
vegyen ,
olyan harommiliosat. :-))
Es tenyleg O a felelos a Te plusz adodert is ?
Egyenesben kozvetitette a TV ,  a te adodat megszavaztak...mindenki
lathatta.
Nem lehet meg olyan idos ahhoz hogy ebben a kerdesben O es a hozza hasonlo
vallalkozok felelosseget meg lehessen allapitani.Viszont ki lehet jelenteni
hogy alkalmazkodik a jelen korulmenyekhez ! Amiket nem O hanem masok -
korabban - teremtettek.Es ugy teremtettek ezeket a korulmenyeket hogy mar
volt lakasuk - szerintem !
Es a mi tippet kero vallalkozonk mindaddig nem fog buszkent emelt fovel
adozni ugy ahogy Te gondolod mig ilyenek lesznek a korulmenyek.Szoval
szerintem nem csalo csak azert mert nem
fizet szazezreket TB-re vagy mert nincs ott az esedekes vallakozok
baljan-fejenkent otvenezerert vagy szazert-es mert nem szor szet tobb
szazezret kulonfele alapitvanyoknak...
Szoval  szerinted nem minden csalo vallalkozo , de minden vallakozo
csalo???
Es meg egy utolso eszrevetel:
< erosnek tunik a lopas kifejezes, de a illegalis jovedelem vegulis
< masok zsebebol szarmazik.
Igen masok zsebebol!!!  Aki adja a munkat es nem ker szamlat annak a
zsebere
gondoltal ??:-))
Zarasul csak annyit hogy amig nem lett aldozata az en volt cegem a
vadkapitalizmusnak en is
affele kozalkalmazotti fizetesbol eltem, ennek negy eve volt,azota eszem a
vallalkozok neha keseru kenyeret...
Felreertesek elkerulese erdekeben Magyarhonbol irtam levelem.
Mazsola

Ui.:Keszulofelben van a vagyonado !
     Az mar mindenkit meg fog nyugtatni !
+ - Maganszemely+ Tozsde (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok tippelok !

Ha tudja valaki, hogy maganszemely milyen uton-modon tozsdezhet, ne
kimeljen.
 Koszi !
+ - munkalehetoseg (mind) VÁLASZ  Feladó: (cikkei)

NYomdai elokeszitesben jartas (Macintosh!) holgyek es urak reszere, akik
esetleg nem elegedettek jelenlegi munkahelyukkel. Oneletrajzot a
 cimre lehet kuldeni!
+ - Re: Traubisoda (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Allitolag a Traubit gyarto ceget felvasarolta egy izraeli vallalat.
Az uvegre ragasztott cimkebol is kiderul, ugyanis ez mar
"Koser Traubi"
Ket literes kiszerelesben lehet kapni, en a Rotchild (lehet
hogy nem is igy irjak) ABC-ben szoktam latni.
Van egy a Jaszai Mari teren (mar mint ABC).

Udvozlettel:

         Takacs Istvan

+ - notebook-ot keresek (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tipp-esek!

Segitseget szeretnek kerni, szuksegem lenne egy notebook-ra (hosszutavra),
igy ha valaki tud olcson eladot, VAGY NETAN HA VALAKINEK MAR NINCS SZUKSEGE
RA, ESETLEG TUDTOK OLYAN CEGET, AKI UJSAG ILL. TV REKLAMERT BARTERBE TUDNA
EGYET ADNI, akkor szoljatok - jelezzetek felem egy e-mailben.

Elore is koszonom, jelenleg az eletemet tudna a szerkezet megmenteni.


Hanga Laszlo

+ - Huszar...? (mind) VÁLASZ  Feladó: (cikkei)

Udv!

Biztosan (?) nem ez a valodi eredete a huszarnak, de miert is ne lehetne...
Totul (szlovakul, talan csehul is) a valamivel foglalkozo embert -a'r -kent
is nevezik, pl. Jokai Szep Mikhaljabol(?) a Vihoda'r (hoher): vychod (kijarat,
ki-(vegzes?)), -a'r, aki ezzel foglalkozik. Ugyancsak szlovakul a husa = liba, 
igy ezexerint a husa'r = liba's...
Huszarok ivadekai kerem, ne rontsanak nekem kivont karddal torkomat
elreszelendo. Mert hianyzik az indoklasom, hogy a delceg konnyulovassagnak 
mi koze is lehetne a libakergeteshez... ;-)

Bye
Oliver

PS/2: Az "olasz" szo erdeterol: a "vlach" (kb. a mai romanok osei) szobol 
      alakult, hasonlokeppen a vlach-> ola'h formahoz, feltehetoleg a ket 
      nep kozos (?) ostortenete folytan.
+ - Re: beton, vakolat (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok falazok !
Szia yoghurt !

Koszi a kiegeszitest ! En nem tudtam volna ilyen precizen 
leirni mint Te. Jobb igy egymast kiegesziteni, mert kevesebb 
a hiba lehetosege es a sorlimit sem szorit. Mielott leirtam a 
TIPP-em, vartam is talan 2 napot, hatha valaki jobban tudja 
mint en es hamarabb valaszol. Nem jott be.

A beton szaraz kevereset azert ajanlottam, mert ha van 
valakinek betonkeveroje ( mindegy, hogy honnan ), akkor mar 
meg is tudja kerdezni, hogy hogyan csinalja. Ha kezzel keveri 
akkor csak szarazon tudja jol osszekeverni. Persze ezt is 
latni kell legalabb 1x.

> Mondjuk itt erdemes probalkoznod pl. egy probafalazast kesziteni, mielott fel
-
> huzod a hazat... :) nehogy ugy jarj mint en evvel az arannyal, es most porlik
> a habarcs a teglak kozul (valoszinuleg ebbe a silany minosegu mesz is kozreja
t-
> szott). 
A falazasnal a malter feladata az egyenetlensegek kitoltese. 
1966-ban a hazunkat meg sarral falaztak ( tegla falak vannak !) 
es cementes habarccsal vakoltak. Van olyan haz (fal) ahol a 
maltert cementbol es homokbol keszitettek. A falat nem a malter 
tartja fuggolegesen, hanem a komuves precizitasa 
( lasd: fu"ggo"o'n). Az esot, jeget, stb... a vakolatnak kell kibirnia. 
Persze ha erosebb a malter akkor biztosabbak all !

Ha valaki nem 10 perces munkaba fog akkor, elotte figyelje egy 
hozzaerto mozdulatait es lesse el, hogy mely mozdulatot, miert 
es hogyan csinal ! En is igy lestem el a vakolast.
 
Megegyszer koszi a kiegeszitest !
Udv. Tibi
+ - Keresztrejtveny (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP-elok!

Tud valaki keresztrejtveny-keszito programrol?
Ha valaki tippeket tud adni, hogy hogyan kell
irni ilyet, mar azzal is segitene.

Valaszokat maganlevelben kuldjetek a kovetkezo
cimre: 

A legjobbakat,
Peter
+ - Zenesz (mind) VÁLASZ  Feladó: (cikkei)

Enekest keresunk rockbandaba.
+ - Magyar Narancs (mind) VÁLASZ  Feladó: (cikkei)

Rengeteg Magyar Narancsot eladnek!
+ - Kesik a Fold ? Erkelyraccsal merve. (mind) VÁLASZ  Feladó: (cikkei)

> [*] Nemreg volt a magyar TV-ben egy musor Ponori Thewrewk Aurel csillagaszrol
.
>    Abban meseltek, hogy O minden delben megjeloli az erkelyracs egyik rujda
>    csucsanak arnyekat az erkely koven.  Mutattak a az erkelyre rajzolt
>    ponthalmazt, amely egy szep kover nyolcast formazott.  A nyolcas
>    hasassagat (szerintem) a nap hosszanak ingadozasa okozza.
>    Az sajnos nem latszott, hogy a vonal nem sajat magaba zarodna - ez a
>    szokomasodpercce kumulalodo hiba szamlajara irhato jelenseg.

Nem lattam a musort, de szerintem a (valoszinuleg) vasracs 
hotagulasa itt nagyobb hibat okoz mint amit a csillagasz 
merni szeretne.
Udv. Tibi
+ - Segitseg (mind) VÁLASZ  Feladó: (cikkei)

Segitseg az kellene egy kicsi
Van nekem egy jo baratom van neki szamitogepe is
sot cd-rom-ja is.
A problema az aldott jo lelek kitorolte 
az autoexecbol illetve a configbol a
cd be allitasat (MSCDEX)

Ugyhogy aki tud segitsen maganlevelben
(ja 6.2 dos 3.11-es vindovs)

Elore is koszi

Krisztian
+ - filmzene (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

Keresem az Underground c. film zenejet.
Nem tudom kiadtak-e lemezen, ha igen hol kaphato?

Kugyi

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Janos KUGYELA                   |    E-mail: 
Irrigation Research Institute   |    Phone: +36,66,311574, 311936
                                                   312681
Szarvas, Hungary                |    Privat: +36,30,530580
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+ - tuszelep (mind) VÁLASZ  Feladó: (cikkei)

Hali!

Tudtok Budapesten egz Uhlsport markaboltot, vagz valami olyan helyet, 
ahol Uhlsport pumpahoz valo tuszelepet lehet kapni? AMi volt hozza, azt 
az ocsem beletorte a kosarlabdajaba, es a kis aranyos ugy tunik senkivel
sem kompatibilis, legalabbis eddig meg nem talatam megfelelo meretu
tuszelepet hozza, ami volt, annak vagy vekony, vagy vastag a menetes
resze.

Segitseg!!!
DTR
Legyszives maganlevelben valaszoljatok, a tippet nagyon ritkan tudom
olvasni.
+ - Kobanyai Zenei Studio erdekelne... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Van valaki aki tudna nekem errol az iskolarol (Poka Egon fele) valami 
infot kuldeni?
Cim, telefonszam, esetleg valami reszletesebbet az oktatas 
mikentjerol?

Koszonettel
    Zoli **********
*******              
    Itt es most !    http://members.tripod.com/~acszoli/index.html
            ********
+ - taviranyitok (mind) VÁLASZ  Feladó: (cikkei)

Haho!

Fogynak a lakasban a taviranyitok...

az elso: (szegeny, negy gyereket kibirt, az otodik mar sok volt neki...)
egy tipus nelkuli joszag, Panasonic F65 kepmagnohoz (gyk: video) valo 
szerkezet,

a masik pedig egy, Bendeguz fiammal eppen egyidos Thomson tv-hez illo, 
RCT 5000 tipusu taviranyito.
Itthon nem is biztatnak...

Ha valaki tud segiteni barmi infoval, nagyon megkoszonom!

sziasztok, denes
+ - PIC(i)-problema (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP!

Segitseget keresek a szakdolgozatom megirasahoz erintolegesen, de
feltetlenul szukseges PIC mikrokontroller programjanak megirasahoz. A PIC
csalad barmelyik tagjanak programja, kiveteles esetben Basic Stamp program
is jo lenne. A feladat az lenne, hogy ket motor (DC vagy step motor)
egymastol fuggetlen vezerleset megoldjuk. (Sebessegszabalyozas es pontos
szogelfordulas betartasa kriterium lenne)

Ha tudsz segiteni, vagy tudsz valakit, aki tud segiteni, kerlek valaszolj.

Koszonettel:
		Novak Aron
+ - Re: Kesik a fold? (mind) VÁLASZ  Feladó: (cikkei)

Kiss Gabor > wrote:
[ora-perc-masodperc definicioja a nap osztasaval]
>Kezdetben.
>Aztan a meroeszkozok pontossaganak novekedesevel kiderult, hogy a "nap"
>hossza rovid- es hosszutavon is valtozik, ezert aztan egy bizonyos ev
>(az 1900-as) tortreszekent definaltak a masodpercet, de mivel eleg
>nehezen reprodukalhato, attertek a kvantummechanikai definiciora.
Sajnos ugy latszik a tegnapi irasom elveszett... Abban irtam, hogy en
is csak a foldi "hetkoznapi" idomeres szempontjabol tartanam logikusabbnak 
az eredeti definiciohoz valo ragaszkodast, egyszeruen praktikus okokbol.
Persze csak hosszabb tavon, az even beluli (feltetelezem nagyjabol
ciklikus) valtozast elhanyagolva.

>Egy inflalodo mertekegyseg teljesseggel hasznalhatatlan. Van csillagasz
>vagy fizikus a vonalban?
Ezzel egyetertek, de ez ugyis csak a fizikusoknak szamit, ok hasznalhatjak
nyugodtan a kvantummechanikai masodpercuket. Mindenesetre atiranyitom a
TUDOMANYra is.

Th(A)n, aki mar most gondol a leendo marsi telepesekre is
+ - Telefon (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

Elado egy Ericsson GH-198-as extrakkal, jo aron, es egy GH-337-es 
szinten jo aron. Erdeklodni email-ban vagy telefonon, tel:06-20-519-837.
mailto:

Kovacs Levente
+ - TRAUBISODA es LAKASEPITES kolcson, "segely" (mind) VÁLASZ  Feladó: (cikkei)

Udvozlet! 

Remelem tud valaki segiteni abban, hogy szocialpolitikai (szoc.pol.) kedvezmeny
t melyik torveny vagy rendelet szerint lehet igenybe venni, es hogy lakas feluj
itasra, vagy alapterulet bovitesere lehet-e igenyelni, ugyanis nagyon rossz all
apotban levo hazreszt vettunk, aminek a helyrehozatala egy lakas epitesenek kol
tsegevel vetekszik. A homlokzat kicserelesre kerul, a valaszfalak ki lesznek do
ntve, az oldalfalak meg lesznek vastagitva, egyedul a tetoszerkezet marad, az c
sak szigetelesre kerul, s a szobak szama is emelkedni fog. Ha barmi egyeb otlet
etek van ilyen celra igenybe veheto olcso hitel konstrukciora, kerlek irjatok. 

Elore is koszi. 

Traubisoda ugyben nemtomkinek: Kaphato a Ujpesti SZTK melletti Profi kozertben,
 a Szentendrei uti Shell kut shopjaban es Ujpest-Varoskapu metromegallo mellett
i kis diszkontban.

Koszi, Szivesen

Champace

Utoirat: 

Eljenek a hazafiak! (ez nem tartozik ide)

Hallottatok, hogy Szingapurban 5-ennyi kulfoldi turista, 6-10-szer ennyi  penzt
 hagy ott? (lehet hogy a szamok nem stimmelnek, de az biztos, hogy egy turistar
a 30-40-szer annyi penz jut)
+ - Nulladik tipusu talalkozasok zeneje? (mind) VÁLASZ  Feladó: (cikkei)

Udvozlet Kedves Mindnyajotoknak!

  Emlekeztek meg a nehai Nulladik tipusu talalkozasok c. musorra?
Erdekelne, hogy az a kellemes kis  zene, ami a musor focimejul szolgalt,
az micsoda? Kifejezetten a musorra komponalt remekmu vagy valami mas?

A valaszokat elore is koszi,
Csaba
+ - Just a pretty day... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Nem tudja veletlenul valaki, hogy az En mozim (Friderikusz) legutobbi
adasaban, a kabitoszeres sztori alatt melyik Lou Reed dal szolt?
Valahogy ugy kezdodott, hogy: Just a pretty day... (ha jol ertettem).
Tudja valaki a cimet es estleg azt, hogy melyik lemezen van?
Koszi elore is!

-Gyorgyi-
+ - Ceginfo erdekel (mind) VÁLASZ  Feladó: (cikkei)

Udv Mindenkinek !

Ceginfokat keresek (nem telefonkonyv szintu). Letezik ingyenes
informacio szolgaltatas az Interneten ? (vagy pl. BBS-en)

Kosz !


-----------------------
Kilvadi Gabor (Kilvadi)
mailto:
Hungary
-----------------------
+ - re olasz??? honnan jon ez?+FFnagyitas+Ugyifogyi:-( (mind) VÁLASZ  Feladó: (cikkei)

Halliho!
1.
OLASZ
Az olasz-t nem tudom honnan ered, de az italiano-nak van magyar megfeleloje:
 a  talja'n (italiano kiejtese italjano- talja'n). 
A szoval kapcsolatban szinten furcsa, hogy az olasz egyseg kialakulasaig
Ita'lia-nak hivjuk magyarul, mig utana Olaszorszagnak, ez olaszban nem
valtozik!  most mar engem is erdekel! 
Sot: mikortol hasznalunk olasz-t taljan helyett?
> ---------------------------------------------------------
2.
FFnagyitas:

Nagyits otthon! Ha komolyan fotozol (1-2 tekercs/het) kb. egy fel ev alatt
lazan bejon az osszes koltseg, raadasul nem kell azelbaltazott kepelre
papirt pocsekolni. Es termeszetesen  oriasi elmeny!! Guaranteed!

tyutyu aki fotozik is
> -------------------------------------------------
3.
Ugyeske
  wrote:
"""Kosz az otletet. Ha nem lenne a korhazban szamitogep. Akkor nem tudnam
""" massal megosztani , hogy : EN MEG MERTEM VAGNI!
"""Az orvosok meg ossze mertek varrni!

Gratulalok! :-((
Nagyon ugyes! :-(((
Sikerult igazi batorsagrol szamot adnod! :-(((((

Szerintem normalis korulmenyek kozott, normalis idegallapotban, normalis
ember szamara nem egyertelmu, hogy a kezet meg kellene vagnia, es eppen
ezert nem is konnyu legyozni az osztonos irtozast az oncsonkitastol. 
Neked most jo?

Ennyi voltam mara!
Pitypalatty!
Botond
tyutyu

+ - [HIRDETES] HDD elado (mind) VÁLASZ  Feladó: (cikkei)


+ - [HIRDETES] 386dx40 elado (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok! Ha tudtok valakit akit erdekel egy elado 386-os, dobjatok
meg egy levellel! A gépben talalhato: 
        8M RAM, 
        420-as hibatlan HDD vezerlovel es portokkal, 
        upgrade-elheto alaplap 386DX procival
        128k cache 
        es egy VGA kartya. (esetleg 3.5"-os FDD) 
Cimem: 
                                                          Desi Imre
                                                            tanar
+ - [HIRDETES] LEGKONDI elado (mind) VÁLASZ  Feladó: (cikkei)

hello mindenkinek!!!

alig hasznalt, ujnak megfelelo allapotu, kb. 2 es fel eves
AMERIKAI LEGKONDICIONALO elado. (ablakklima-berendezes)

annyit kell tudni rola, hogy:

*  a tipusa Keeprite WA 09035B,
*  a teljesitmenye 2.64 kW,
*  tovabbi parameterei: 40-45 negyzetmeter, 540 kobmeter/ora,
*  az elmult 2.5 evben max. nehany oraig ment, regota nem hasznaljak,
   mert zavarja a szomszedot, mivel a lepcsohaz fele fujja a meleget -
   szoval lenyegeben csak "disz" volt eddig, es kb. olyan mintha uj
   lenne, szoval gyak.ilag "nullkilometeres",

1 kis piackutatas:
*  az uj ara 2.5 evvel ezelott 72500 Ft volt,
*  egy masfelszer kisebb hutoereju legkondi uj ara most 88 eFt,
   a 2.5 kW-os (kb. 25-30 negyzetmeteres helyisegbe valo) legkondik kb.
   110 eFt-tol kezdodnek,
*  az eladasra kinalt szerkentyu ara: 70 eFt.

szoval, akit erdekel, hivja a 163-5705 szamot, es kerje Lipta'k Olive'rt
(vagy ha nem vagyok itthon, hagyj uzenetet es tel.szamot).

Email:



                            Scan ya later!   --- Olly ---

 ...Socialism: on the average, I'm well off, but what will I eat tomorrow?
-!- Terminate 4.00/Pro
 - Origin: Oliver Liptak, Budapest, Hungary (2:371/20.29)



                                               Bye,
                                                     Oliver
+ - [HIRDETES] *** Elado mobiltelefon *** (mind) VÁLASZ  Feladó: (cikkei)

Elado egy Motorolla 5200-as mobiltelefon, halozati
es autos toltovel, Westel 900 akcios kartyaval.
Ara kartya nelkul: 12ezer Ft, kartyaval 15ezer Ft.

Bogdanovits Peter

E-mail: 
Tel.:  napkozben: 138-7109
        este: 220-4784
        egyebkent: 06-30-220-178
+ - [HIRDETES] Pc hardware elado (mind) VÁLASZ  Feladó: (cikkei)

Elado:

Intel Pentium 120 ...........................19000
Intel Pentium 166 (megy 180-on)..............36000
IBM (Cyrix) 6x86 120-P150 (133-P166).........23000
Samsung 1GB HDD..............................28000
GVC 33.6K -s kulso modem.....................20000

Balai Zsolt

76 / 415-836

Mint latszik en kecskemeti vagyok, de ha nagyon kell, megbeszelhetjuk, 
hogy elviszem oda ahova kell.


+ - [HIRDETES] HONDA CM-400/A elado!!!!! (mind) VÁLASZ  Feladó: (cikkei)

A fenti motor 1981 evjaratu es gyari (eredeti USA modell!) allapotban van.
Honda-bordo szinu. Automata kuplung es valto. 13000 merfoldet futott.
Elado az elso tulajdonostol. Iranyar: 350000 HUF
Erdeklodni: E-mail-ben vagy 17h utan a 53/314-176 telefonon.
+ - [HIRDETES] HONDA VT250 F (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Tudatom mindenkivel, hogy kedves ocsem HONDA VT250 F motorja elado!
Tulajdonsagai:
                - 250 ccm V motor 8 szelep 45 LE
                - Hidraulikus elso fek es kupplung
                - Apolt es megnyero kulso
                - 1987 evjarat
                - 15000 km
               
               
Tovabbi reszletek a fenti cimen, illetve a 76/478-898 szamon.
+ - [HIRDETES] Elado Fiat Regatta (mind) VÁLASZ  Feladó: (cikkei)

Elado egy Fiat Regatta 100 Si.
1989-es, 1600 ccm, 100 LE.
El. ablakok elol, all. kormanymagassag, radiosmagno, belulrol all. tukrok.
Iranyar: 495e Ft,
Erdeklodni a kov. telszamon. (20) 560-227, vagy villanylevelben.
+ - [HIRDETES] AU-PAIR LENNEK AZ USA-BAN (mind) VÁLASZ  Feladó: (cikkei)

Szeretnek munkat vallalni az USA-ban.
   26 eves matematika-testneveles szakos tanar es hivatasos teniszedzo
   vagyok.Mivel sokat foglalkozom gyerekekkel,elsosorban au-pair munka
   erdekelne vagy valami hasonlo.Keresek egy csaladot vagy ugynokseget
   akivel felvehetnem a kapcsolatot.
   amail:
     fax:72 326860
+ - [HIRDETES] 4x CDROM elado (mind) VÁLASZ  Feladó: (cikkei)

Hali!
Elado egy Panasonic 4x CDROM (IDE interface) megkimelt,
tokeletesen mukodo allapotban.
Ar: 11000 HUF
Hely: Pecs
Erdeklodni: 
+ - [HIRDETES] Kompakt fenycso... (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok!

Lenne egy kerdesem: szeretnek kompakt fenycsovet venni. nem egy 
darabot, igy erdemes lenne sporolni. Kb. 60W-nak megfelelo 
teljesitmenyu fenycsoveket szeretnek venni. Szoval ha tud valaki 
viszonylag olcso helyet, vagy preferalt tipust, azt megkernem, hogy 
irjon nekem egy e-mailt. Magan email lenne a jobb (persze ide is el 
lehet kuldeni, hatha masokat is erdekel), mivel nincs idom 
rendszeresen olvasni a Tippet!
Koszi!

        


            Udv,
                Andras
                
+ - [HIRDETES] JET SKY (mind) VÁLASZ  Feladó: (cikkei)

Hasznalt Jet Sky-t vennek. Ha valaki tudna segiteni akkor irjon a 
cimemre :
 ---------------------------------------------------------------
          

         http://mgszki1.kaposvar.pate.hu/tj/index.htm
> --------------------------------------------------------------
+ - [HIRDETES] elado lakas (mind) VÁLASZ  Feladó: (cikkei)

A kek metro Pottyos utcai megallojatol 2 percre eleado egy 1.5 szobas (35nm)
vilagos, felujitott szep lakas.  A lakas egy panelhaz 7. emeleten van.
A lakas beosztasa nagyon jo, a lakotelep kellemes fas, a kozelben mindenfele
bolt, orvosi rendelo, ovoda, jatszoter van, de a belvaros is pillanatok alatt
elerheto. 
Iranyar 2.6 millio forint. 
Erdeklodni lehet a  cimen , illetve a
177-6213 -as budapesti telefonon.

                    Csabai Istvan
+ - [HIRDETES] 60 l-es hutoszekreny (mind) VÁLASZ  Feladó: (cikkei)

Elado egy 2,5 eves S60E tipusu Lehel abszorpcios (csendes) hutoszekreny.
Szallitas esetleg megoldhato (Budapesten).
Erdeklodni a fenti cimen lehet, Csaba
+ - [HIRDETES] hirdetes (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt Olvasok !

Tipucsere miatt eladok a kovetkezo alkatreszek:  
1 db VL buszos S3-as VGA kartya,1 Mbyte RAM ( 2-ig bovitheto )
iranyara 4kFt
2 db VL buszos multi I/O kartya ( 8 vincsi vezerles, 2 FDD,
COM-ok, stb ), iranyara 0,5kFt/db
1 db 9600-as BEST modem (engedelyes), iranyara 3,5kFt
Mindegyikhez gyari install lemezek es eredeti leiras(ok)
Esetleges erdeklodeseteket magan e-mailben  kerek, hogy ne
terheljuk foloslegesen a HIX-et !
( Nagy <egy> tetelben torteno vasarlas eseten 10% arengedmeny !
)
Udvozlok Mindenkit:

     Erdei Ferenc 

+ - [HIRDETES] 14,4-es RockWell belso elado !!! (mind) VÁLASZ  Feladó: (cikkei)

Hello !

Elado   egy   teljesen   kifogastalan,   rendkivul  jo  karban  tartott
elkepesztoen megbizhato RockWell chipsetes faxmodem.

A modem kb. 2-3 even at hiba, es baj nelkul szolgalt nalam, jelenleg is
vadi  uj  gyanant  viselkedik,  soha  meg  megszakadasom,  vagy carrier
vesztesem nem volt vele.

A fobb parameterek:

- CCITT V.32bis, V.32, V.22bis, V.22, V.21, Bell 103, Bell 212A
- V.42 and MNP 2-4 error free data transmission
- V42bis and MNP5 data compression with throughput up to 57600 bps
- Fully hayes compatible
- Full 5 years warranty (!!!!!!)

- Fax: 14,400
-      Send and receive faxes from any group II & III fax machines
-      V.17 (14,4K bps) FAX STD
-      Interfaces with HP (r), IBM (r), and Epson (r) compatible
       printers.
-      0,1,2 fax classes

Ja,  es minden eredeti teljeskoru dokumentacio, gyari sw. lemezek, es a
hozza tartozo doksik, kabel, meg minden, amit eleve kaptam hozza.

Az  ajanlatokat  a magancimemre varom, licit alapon mondjuk majus 1-ig.
Minden  levelre  valaszolok,  es kozlom az addigi legnagyobb ajanlatot,
raajanlas  vegett.  Ja,  es  egyaltalan  nem  tartom  magasra  az arat,
nyugodtan irdd meg, mennyire gondolsz, es lesz, ami lesz.

Termeszetesen utanvettel is elkuldom szivesen.


                                              Haliho:
  
                                                       Berta Akos
                                                   from THS group

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS