Hollosi Information eXchange /HIX/
HIX TIPP 3747
Copyright (C) HIX
2000-11-13
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 Borotvahab (mind)  32 sor     (cikkei)
2 Re: *** HIX TIPP *** #3746 (mind)  12 sor     (cikkei)
3 Re: Hangrobbanas (mind)  31 sor     (cikkei)
4 borotva TIPPeket koszonom (mind)  8 sor     (cikkei)
5 Leptetomotor vezerles (mind)  10 sor     (cikkei)
6 Ki mit tenne? (mind)  19 sor     (cikkei)
7 kakukkosora + perec (mind)  10 sor     (cikkei)
8 Re: macsek, regi szoveg (mind)  11 sor     (cikkei)
9 Re: A kirlynot megolni nem kell . . . (mind)  7 sor     (cikkei)
10 Re: le bizony*** HIX TIPP *** #3744 (mind)  7 sor     (cikkei)
11 Gitmo (mind)  5 sor     (cikkei)
12 Re: Re: le bizony (mind)  8 sor     (cikkei)
13 DD-viz (mind)  17 sor     (cikkei)
14 Spam hegyek (mind)  14 sor     (cikkei)
15 kerdes (mind)  9 sor     (cikkei)
16 Re: nyelv (mind)  8 sor     (cikkei)
17 ujsag (mind)  5 sor     (cikkei)
18 Re:Pingvinek (mind)  11 sor     (cikkei)
19 regi szoveg (mind)  4 sor     (cikkei)
20 zoldkartyalotto (mind)  7 sor     (cikkei)
21 RE: Beepitett szekreny (mind)  21 sor     (cikkei)
22 uvegszalas ragasztoszalag (mind)  14 sor     (cikkei)
23 Idegen nyelv (mind)  12 sor     (cikkei)
24 Re: Re: le bizony (mind)  10 sor     (cikkei)
25 Re(3): le bizony (mind)  8 sor     (cikkei)
26 Re: Beepitett szekreny (mind)  10 sor     (cikkei)
27 Balinger szemuveg (mind)  7 sor     (cikkei)
28 Keres (mind)  9 sor     (cikkei)
29 komolyabb borotva/nepi megfigyeles (mind)  10 sor     (cikkei)
30 borotva/hab (mind)  8 sor     (cikkei)
31 koszonet (mind)  8 sor     (cikkei)
32 szakdolgozat (mind)  16 sor     (cikkei)
33 Re AFA Ausztriabol (mind)  28 sor     (cikkei)
34 Re: telefonos spam (mind)  11 sor     (cikkei)
35 Re[2]: spam a Hillarytol :-) (mind)  12 sor     (cikkei)
36 Re: Ugyved, jogtudos kerstetik (mind)  28 sor     (cikkei)
37 Re: Egy halozati kerdes *** HIX TIPP *** #3746 (mind)  13 sor     (cikkei)
38 Re: Hangrobbanas *** HIX TIPP *** #3746 (mind)  53 sor     (cikkei)
39 Telefon es koszones (mind)  28 sor     (cikkei)
40 Tengeri csillag (mind)  10 sor     (cikkei)
41 sms (mind)  5 sor     (cikkei)
42 ismet 30 perc... (mind)  14 sor     (cikkei)
43 hangrobbanas + le'gszesz (mind)  65 sor     (cikkei)
44 Erdekes nyelv a magyar... (mind)  8 sor     (cikkei)
45 S.O.S (mind)  34 sor     (cikkei)
46 Olcso kocsiberles Budapesten? (mind)  13 sor     (cikkei)
47 Leonida-hullocsillagzapor? (mind)  19 sor     (cikkei)
48 szennyezve van (mind)  20 sor     (cikkei)
49 Infralampa eleterol Valkai Sandornak (mind)  12 sor     (cikkei)
50 [HIRDETES] elado akvariumok (mind)  9 sor     (cikkei)
51 [HIRDETES] ZEPTER Biotron (mind)  9 sor     (cikkei)
52 [HIRDETES] Elado Polski 126-os (mind)  3 sor     (cikkei)
53 [HIRDETES] Elado cuccok (mind)  8 sor     (cikkei)
54 [HIRDETES] Epitkezesbol cuccok eladok (mind)  12 sor     (cikkei)
55 [HIRDETES] elado lakas csepelen (mind)  4 sor     (cikkei)

+ - Borotvahab (mind) VÁLASZ  Feladó: (cikkei)

Valaki ezt irta:

"Mivel a borotvahab dontoen befolyasol(hat)ja a borotvalkozas
minoseget es kenyelmet,....."

Mar tobbszor hivatkoztam Csanyi doktor bacsira, aki annak idejen
(a haboru elott!) sok olyan tanacsot adott a gimiben
egeszsegtan oran, amit ezota is helyenvalonak tartok.

A borotvalkozasrol azt mondta, hogy
- kizarolag a viz puhitja a szort.
- a habra azert van szukseg, hogy a viz ne szaradjon le.
- minel tovabb azik a szor, annal puhabb lesz.

Tapasztalatom szerint ebben a kerdesben is igaza volt.

En minden reggel 15-20 percig zuhanyozoK 40 ev ota.
Az utolso 5 percben (5-szor, 10-szer valtott) hideg-meleg vizes
valtozuhanyt veszek (nem a borotvalkozas miatt!).
Az utolso adag termeszetesen hideg.
A szor nagyon puha, a hideg viz miatt a bor osszehuzodik,
a szorszalak meredeken kiallnak.
A borotvalkozas hab nelkul is gyerekjatek.
Kozonseges babaszapannal azert csinalok habot,
hogy csusszon a szerszam.

Meg egy megjegyzese a doktor bacsinak:
Nem igaz, hogy ha egy fiu tul hamar kezd borotvalkozni,
akkor gyorsabban no a szore. Forditva: azert KELL hamarabb
borotvalkoznia, mert az atlagnal gyorsabban no a szore.

Lezo
+ - Re: *** HIX TIPP *** #3746 (mind) VÁLASZ  Feladó: (cikkei)

> > Az anyanyelvunk sajnos szennyezett,
>
>   Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,
> bocsanat. _Szennyezve van._

Sajnalom kedves Attila, nincs igazad! A "szennyezett" forma helyes,
ez itt nevszoi allitmany, ebben az esetben melleknev tolti be az allitmany
szerepet.

Udv.

Oszi
+ - Re: Hangrobbanas (mind) VÁLASZ  Feladó: (cikkei)

Udvozletem!

A #3746-os HIX TIPP-ben kerdezte Dago
):

> A napokban egy barati tarsasagban  szoba kerult a subject-ben
> szereplo jelenseg. A resztvevok kozul azonban senki sem tudott, a
> tobbiek szamara elfogadhato magyarazatot adni arra nezve , hogy mi
> is tortenik valojaban.

Ismereteim szerint a jelenseg vazlata a kovetkezo: hangot
kibocsato targy korul koncentrikus gomb alakban tejednek
kozegben a hanghullamok. Ha a kozeghez kepest a hangforras
mozog, a haladasi iranyban a hullamok surusodnek, mogotte
viszont ritkulnak. (Lasd meg Doppler hatas). Amikor elol ez a
surusodes eleri azt, hogy a hanghullamok egymasra kerulnek
(tavolsaguk nullara csokken), akkor kovetkezik be a
hangrobbanas. Mindezek miatt velemenyem szerint
hangsebesseg alatt mozgo targyak eseteben ez nem
kovetkezhet be. Felteszem, mas hangsebesseggel vagy ennel
gyorsabban halado targyaknal is jelentkezik a hatas. Az adott
kozegben mert hangsebesseg erteket szokas 1 Mach-nak is
nevezni -- a nev eredetevel kapcsolatban pedig arra
emlekszem, az illet egy pragai ballisztikus mernok volt a mult
szazadban.

--
Udvozlettel:
Dorozsmai Karoly
 
http://www.fay-bp.sulinet.hu/~.kdorozs.fay
+ - borotva TIPPeket koszonom (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPPelok!

Szeretnem megkoszonni mindazoknak akik segitseget nyujtottak donteni a
penges borotva kerdesben. Sok levelet kaptam, nagyon koszonom :)

Udv

Kutni Balazs
+ - Leptetomotor vezerles (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPPelok !!!

Olyan kapcsolasi rajzra lenne szuksegem mely
hangra (mikrofonon keresztul) vezerel lepteto motort.
Discoba lenne ra szuksegem lampavezerleshez.
Elore is kosz minden segitseget !!!
u.i.:Van valami (kaphato) szakirodalom ebben a
temaban ?

Udv.: Istvan
+ - Ki mit tenne? (mind) VÁLASZ  Feladó: (cikkei)

> Hello!
>
> Az albbi eset velem esett...: a Dozsa Gyorgy uton bevagott elem egy auto a
buszsavbol, majd egy
> szabalyosan kozlekedo autoval nekiallt vitatkozni, ugy, hogy megallt
> elottem a savomban, mire en radudaltam. Erre ket izomagyu gyerek kiszallt.
Mivel
> egyedul voltam, nem alltam neki kakaskodni. Az egyik pedig letorte a
> visszapillantomat.
>
> Csak kozolni akartam a nyilvanossag elott. Rendszamot, auto tipust
felirtam.
>
> TIPP-et is varnek, mas ilyenkor mit tenne. Rendorsegi feljelentes
> kizart -mert mint irtam egyedul voltam- nincs tanum.
>
> Kosz.
>
Grrrr: Duhongo
+ - kakukkosora + perec (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

Ket dolgora lennek kivancsi, remelem leszenk kozottetek paran, akik tudjak
majd a valaszt.

1 - mi kakukkol a kakukkos oraban?
2 - hogy keszul az a sos maz, amit a pekek a perecre kennek?

Koszonom elore is,
udV: 
+ - Re: macsek, regi szoveg (mind) VÁLASZ  Feladó: (cikkei)

In article >,  writes:
>Egyik kedvenc peldam, amikor azt mondjak, vesszohiba nem szamit :-))

Nem-e? :-)
1849-ben kivegeztek valakit, mert egy levelben azt irta a szabharcosoknak
(kenytelen vagyok repulo ekezeteket hasznalni):
ku:ldo:k  e'lest   (azaz lo"szert), ahelyett, hogy
ku:ldo:k  e'le'st  (azaz ennivalo't).
Lehagyott egy ekezetet, es ez eleg volt a halalos itelethez.

Gabor
+ - Re: A kirlynot megolni nem kell . . . (mind) VÁLASZ  Feladó: (cikkei)

In article >,  writes:
>Kedves Baratom,  Ez a mondat egyszer mar megjelent a HIX TIPP-en es ha jol
Kedves baratom! A Tipp anyaganak negyede ismetles. Ezt nem erdemes kulon
szova tenni.

>Szeretetel, Jalso Sandor, USA
Szeretettel kissg
+ - Re: le bizony*** HIX TIPP *** #3744 (mind) VÁLASZ  Feladó: (cikkei)

In article >,  writes:
>Jut eszembe, lehetne egy levelezolistat inditani, melyben
>a feltunoen banto, fulserto "helytelensegeket" dokumentalnank.

http://www.sztaki.hu/~kissg/harc/a-magyar-nyelv-vedelmeben.html

Gabor
+ - Gitmo (mind) VÁLASZ  Feladó: (cikkei)

Miert hivjak az amik a Guantanamoi tamaszpontot Gitmonak?
Marmint a szo etimologiaja erdekel. Nem lehet sima rovidules,
mert az i nem szerepel az eredeti szoban.

Gabor
+ - Re: Re: le bizony (mind) VÁLASZ  Feladó: (cikkei)

In article >,  writes:
>  Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,

Ki allitja ezt?

kissg
-----
"Tiszta fegyver nincs, csak tisztitott." [katonai kozmondas]
+ - DD-viz (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

a tv-ben (Magyar 1-es, Nov. 9-e csutortok) lattam egy musort egy magyar
biologussal, aki foltalalt egy rakellenes keszitmenyt: DD-vizet
(Deuterium-depleted water). Ma.o.-n engedelyezett allatorvosi keszitmeny,
emberen phase 2 double blind klinikai kiserletek folytak; a musor szerint
fantasztikus eredmenyekkel.

A szer kaphato, sajnos nagyon draga (1 napi adag tobb ezer forint).

Egy szamomra nagyon kedves hozzatartozom sulyos beteg, rajta szeretnek
segiteni. Tud valaki valami FUGGETLEN velemenyt errol a keszitmenyrol? (A
feltalalo es gyarto cikkeit, allaspontjat ismerem.)

Elore koszonok minden segitseget.

Zoli.
+ - Spam hegyek (mind) VÁLASZ  Feladó: (cikkei)

Kerem arulja el nekem valaki, hogy olyan frissen keszult
freemail fiokra, amivel meg sehova sem iratkoztam fel,
hogyan kezdhet omleni a szemet valami zenei reklamokat
nyomo ) helyrol. Abba mar bele-
nyugodtam, hogy a masik cimemre erkezo szemetek tobb-
segerol (Cinax, Cypreshill, stb.) keptelenseg leiratkozni,
mert a megadott cimekrol azt  valaszoljak hogy nem is
vagyok feliratkozva... :-((
Annak viszont nem orulnek, ha illetekes uzemeltetotol -
MATAV omeltosaga - kerulnenek ki a frissen keszult, de
meg egyszer sem hasznalt e-mail cimek. Bar a Matav jo-
penzert az anyjat is... ize...eladja!!

Teddy
+ - kerdes (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Nemtudjatok, hogy Nyiregyhazan mikor es hol lesz Irigy Honaljmirigy koncert?
Es menyibe kerul a jegy.
Elore is koszi a valaszokat.

--
Tsaba

+ - Re: nyelv (mind) VÁLASZ  Feladó: (cikkei)

>> Az anyanyelvunk sajnos szennyezett,
>  Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,
bocsanat. _Szennyezve van._

Miert ne lenne hasznalhato a "szennyezett", mint befejezett melleknevi
igenev?

Peter
+ - ujsag (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Tudtok olyan helyet pesten, ahol tudnek vasarnap is ujsagot venni?

Gab
+ - Re:Pingvinek (mind) VÁLASZ  Feladó: (cikkei)

>Az angol kiralyi tengereszetnek erre az a megoldasa hogy
>kutatorepulokkel es helikopterekkel szallnak el a pingvinek
>folott hogy meg tudjak allapitani _mitol_ esnek hatra.
>Komolyan!

A Reuters szerint a pingvinek vizsgaljak az embereket,
hogy hogyan viselkednek, ha a pingvinek hanyatt esnek.
http://www.hetek.hu/index.cfm?szam=2000111004.046&rovat=tartalom&cikk=index.
cfm?szam=2000111004.046&rovat=Szines&cikk=1sz.htm

koka.
+ - regi szoveg (mind) VÁLASZ  Feladó: (cikkei)

a kiralynet megolni nem kell felni jo ha mindnyajan beleegyeztek en nem
ellenzem.

Arpi
+ - zoldkartyalotto (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

szeretnek koszonetet mondani mindenkinek a beerkezett levelekert. Tanulsagos

volt vegigolvasni.

Ildiko
+ - RE: Beepitett szekreny (mind) VÁLASZ  Feladó: (cikkei)

Rozmar irta:
>Javasoljatok Budapesten vagy kozeleben beepitett szekrenyeket keszito
>ceget.
A Blaha Lujza ter mellett van a Lottohaz, az emeleten van a Mekopex.
Kft. (VII.Rakoczi ut 36., tel.: 226-3802, 461-5810). Stanley beepitett
szekrenyeket csinalnak, nagyon jo minosegben, korrekt aron.

Tulajdonkeppen az asztalosunk ajanlotta oket, mert vele akartunk
csinaltatni a szekrenyt, de o ennyiert ilyen minosegben nem tudta volna
megcsinalni, szallitani, beszerelni... Eredetileg csak fenyomintas
toloajtokat akartunk, de rabeszeltek a tukrosre, mert nem sok a
kulonbseg arban, de a tukros sokkal szebb (es optikailag nagyitja a
teret). Raadasul a "sima" ezust tukron kivul sokfele mas is valaszhato.
Nagyon elegedettek vagyunk az eredmennyel.

A Stanley weboldala (kepekkel): http://www.pannonet.hu/stanley/

Emoke

> --------------------------------------------------
 Mi az On MailBox cime? - http://mailbox.hu
+ - uvegszalas ragasztoszalag (mind) VÁLASZ  Feladó: (cikkei)

Udv Tippelok!

Volna nekem egy kerdesem a subjectben emlitett targgyal kapcsolatban:
lehet-e kereskedelmi forgalomban ilyet kapni, hol lehet, mennyiert es
mire hasznaljak altalaban.
Ha valaki meg nem latott ilyet, aminek nagy a valoszinusege, elmondom,
hogy ugy nez ki mint egy cellux, csak a kulso atmeroje 12 cm, a belso
pedig 8,2 cm, a szelessege pedig 19 mm, es attol uvegszalas, hogy a
szalag teljes hosszaban fut vegig valami cernafeleseg, es nagyon eros.
Nos ha tud valaki errol valami felvilagositast adni, akkor legyen oly
kedves es tegye meg!

udv
  Szikra Joe
+ - Idegen nyelv (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok!

Egy temetoben es siron olvastam az alabbi szoveget.
"A NOTRE AMI, LES AMIS DE MONTOIS".
Meg tudna valaki mondani, hogy mit jelent?

Elore is kosz.
Paska Tibor


Bocs a nagybetuert, de nem tudom hogyan kell jol irni, rosszul pedig nem
akarom.
+ - Re: Re: le bizony (mind) VÁLASZ  Feladó: (cikkei)

>> Az anyanyelvunk sajnos szennyezett,
>
>  Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,
>bocsanat. _Szennyezve van._

Lehet, hogy rosszul latom, de szerintem itt jelzokent all, mint pl Jancsi
okos, Pista buta stb.
Ha valaki megmondana nekem , hogy mi itt a baj vele, akkor megkoszonnem.

yerico
+ - Re(3): le bizony (mind) VÁLASZ  Feladó: (cikkei)

>> Az anyanyelvunk sajnos szennyezett,
>
>  Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,
>bocsanat. _Szennyezve van._

Miert is nem?

	Polgardi Peter
+ - Re: Beepitett szekreny (mind) VÁLASZ  Feladó: (cikkei)

Szia Rozmar!

Nem tudom, mekkora mennyisegrol van szo (merthogy ceget irtal), en egy
maszek asztalost tudok ajanlani, nekunk mar eleg sok butort csinalt, egy-egy
darabokat es komplett konyhabutort is. Minosegi munkat vegez garanciaval es
eleg nagy az anyagvalaszteka (szin es vastagsag).
Fe'csik Zsoltnak hivjak, szam: 0620-316-3473.

Udvozlettel,
Gyarmati Stefi
+ - Balinger szemuveg (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Tudja valaki hol lehet kapni azt az ujfajta (racsos) Balinger szemuveget ?
Es mennyiert ?

Koszi
	Szambi
+ - Keres (mind) VÁLASZ  Feladó: (cikkei)

Kedves Ildi, es Benyo!

Bocs, hogy igy kell jelentkeznem ,de sajnos a rendszerem egy hete bedoglott,
es csak mara sikerult ugy ahogy felallni.  Azt tudom, hogy a mult heten
irtatok, de nem tudom, hogy mit, kerlek kuldjetek el meg egyszer.

Koszonom,

Tamas
+ - komolyabb borotva/nepi megfigyeles (mind) VÁLASZ  Feladó: (cikkei)

H,
Egy bizonyos szint utan szerintem majdnem mindegy. Jomagam a Wilkinson
Protectort hasznalom, immaron 4 eve (billenofej es nuku), es nagyon meg
vagyok vele elegedve. A kb 1100-1200 Ftos , 5 dbos cserebetet keszlet pedig
sokaig kitart,  mert jo minosegunek talaltam eddig mindig . Ja, es ehhez
hozzajarul az a szemelyes nepi megfigyeles, hogy ha idegesebb napom van,
jobban no a pofaszorzetem :) de ez komoly. Igy a heti igenybevetel 3-5
borotvalkozas, ami azt jelenti, hogy 5betet= kb10 honap. Ami azert nem
rossz.
Franky
+ - borotva/hab (mind) VÁLASZ  Feladó: (cikkei)

Most latom/olvasom   emiljet amiben a borotvahan
fontossagat emeli ki, maximalisan egyetertek, rossz tapasztalatot nem irok,
inkabb roviden a jot: a Gilette Gel es a Colgate vezet nalam, utobbit azt
hiszem nem lehet nalunk kapni, en a taszari katonasagom alatt az
IFOR-boltbol jutottam hozza, nagyon gazdasagos es jo az arcboromnek. A
Gillette ugyanez. Ja, enyhen erzekeny az arcborom, amugy semmi kulonos.
Udv
Franky
+ - koszonet (mind) VÁLASZ  Feladó: (cikkei)

Mindenkinek koszonom a valaszokat infralampa ugyben.
Ezek szerint egy izzo hivatalos elettartama 2000 ora, ami
boven eleg kell, hogy legyen a  kutyusok melegitesere az
elvalasztasig, tehat eleg egy tartalek izzot venni a biztonsag kedveert.

Kiskutya meg mindig nem kell senkinek? Nagyon helyesek am! :)

Zem
+ - szakdolgozat (mind) VÁLASZ  Feladó: (cikkei)

Hi Mindenkinek!

Szakdolgozatot irok!
A temaja valami ilyesmi, hogy az Informatikai rendszerek
oktatasanak, es betanitasanak problemai, es megoldasai
felnotteknek.
Meg keves anyagot talaltam hozza, ezert minden segitseget
szivesen fogadnek:
  URL-ek, doksik, barmi amit felhasznalhatok.

Nem vagyok feliratkozva a listara, ezert maganba kerek
valaszt!



Elore is korzonok mindenfele segitseget!
+ - Re AFA Ausztriabol (mind) VÁLASZ  Feladó: (cikkei)

Udv Andras, es Lista!
  [Hungary]  TIPP #3741 irta:

>Sziasztok!
>Szeretnek Austriaban vasarolni. Az ottani afat,
>ami 20% itthon visszafizetik. Errol van valakinek
>tapasztalata ? Mennyi a kezelesi koltseg, stb.

Ugyan nem tegnap legutobb voltam A-ban, de mar akkor is Ausztria fizette
vissza a naluk MW-nek nevezett AFA-t. A boltban kered az "AFA-s"
szamlat, amit a hatar osztrak oldalan az osztrak vamosssal
lepecseteltetsz,
igazolvan, hogy az aru elhagyja A-t. a visszaigenylesre min Brutto 1000
ATS
vasarlasa eseten van lehetoseg, de ez tobb szamlabol is osszejohet.
(Ritka unott pofaval tudjak a sogor financok a a pecsetelest vegezni,
mintha a szamlad  lenne, ugy fogjak meg.)
Ezutan meg mindig a hatar osztrak oldalan utlevellel a honod
alatt meglatogatod Hegyeshalomban pl. a pressoban (Sopronban az osztrak
autoklub
) mukodo fenetudja mi a neve hivatalt, ahol a leigazolt papir
alapjan rovid szamolgatas utan ATS-ben fizetnek.

--
 Komm. udvozlettel Dunai

 Ekezet johet;InterNYET
 Telefon egesz heten:30-255-0925 E-mail csak hetvegen
+ - Re: telefonos spam (mind) VÁLASZ  Feladó: (cikkei)

A TIPP 3745-ban irta :
>X varosnak fontos polgara...") be kb. 20 ezer level per ora
>teljesitmennyel.

Ilyet a Word is tud, ko:rlevelnek hivjak. A tollal irt alairas, nem csak
egyszeru" ke'k nyomtatas volt?

Solya   o,,,,o
          ( ^y^ )
        O        O
        (o)__(o)
+ - Re[2]: spam a Hillarytol :-) (mind) VÁLASZ  Feladó: (cikkei)

A TIPP 3745-ban irta :
>A Viktor volt az, es ot is, mint Hillaryt megvalasztottak,
>de ahogy Gyongyi is mondta, "nem nekem koszonheti..." :)
>
Kozben eszembe jutott. Nem Viktor volt, hanem Pokorni. Es o" nekem is
koszonheti, hogy megvalasztottak. De kerlek, de menjunk bele politikai
vitaba. Ko:sz.

Solya   o,,,,o
          ( ^y^ )
        O        O
        (o)__(o)
+ - Re: Ugyved, jogtudos kerstetik (mind) VÁLASZ  Feladó: (cikkei)

A TIPP 3746-ban irta :
>2. A telefonszolgaltatom (Emitel) szamolhat-e fel dijat (562,5 Ft) ha
>levelet kuld, hogy tartozasom van. Ugyanakkor a reklamalt osszeget  a
>befizetesi hatarido elott mar befizettem. Tehat a felszolito leveluk
>jogtalan volt. - Egyebbkent ez egy eleg kacifantos ugy.
>A legszebb, hogy hozzacsaptak a
>jelenlegi telefonszamlamhoz. Szerintem visszael monopolhelyzetevel az
>Emitel Rt.
>

Szerintem, ba'r nem vagyok jogvegzett, csak logikus ember, felszolito
levelert lehet felszamitani valamennyit, vegul is, ez nekik koltseg. Az egy
masik kerdes, hogy az osszege nem lehet aranytalanul nagy, mint a te
esetedben. 100-200 Ft-ot szoktak ilyesmiert felszamitani, mar ahol
egyaltalan...

Ilyen esetekben raklamalo levelet kell irni, es a tulfizetest a kovetkezo
szamladon jovairjak. Amennyiben megsem, azt kamataival egyutt peres uton
szerezheted vissza.

PS. Ez maganvelemenyem.

Solya   o,,,,o
          ( ^y^ )
        O        O
        (o)__(o)

Ui.: Ment a listara is
+ - Re: Egy halozati kerdes *** HIX TIPP *** #3746 (mind) VÁLASZ  Feladó: (cikkei)

> Az USA-ban eloktol szeretnem megkerdezni, hogy milyen halozati feszultseg
> van kint? (Volt, egyen vagy valto, Hz.)

110 Volt, 60 Hz

> Az is erdekelne, hogy milyen csazlakozo dugok vannak. (Ugy tudom regen
> keselcsatlakozo volt.)

Ket lapos erintkezo a szeles oldalaval egymas fele. A ket lap "polarizalt",
tehat nem egyforma szelessegu. Azt hiszem a fazis ('live') a keskenyebb. A
foldelt csatlakozon a harmadik (fold) erintkezo hengeres.

Geza
+ - Re: Hangrobbanas *** HIX TIPP *** #3746 (mind) VÁLASZ  Feladó: (cikkei)

> A napokban egy barati tarsasagban  szoba kerult a subject-ben szereplo
> jelenseg.
> ...
> Gyerekkormban egy katonai repuloter kozeleben laktam, es
> szamtalanszor tapasztaltam azt az ablakrezgeto
>  - a'gyulo:ve'st is felul mulo -   hanghatast.
>  Akkoriban akiket kerdeztem, azt mondtak
> hogy a jelenseg akkor kovetkezik be , ha egy szuperszonikus
> repulogep sebessege "atlepi" a hangsebesseget.
> Nos el tudna-e magyarazni valaki hogy mi is tortenik valojaban
> ilyenkor.

Egyszeru. A repulo gyorsabban megy mint a hang terjedesi sebessege ezert a
repulo elott osszetorlodik a levego, u.n. "shock wave" alakul ki. Viszont ez
a shock wave sem tud gyorsabban menni mint a hang sebessege, igy a repulotol
tavolodva az egy kup alakban koveti a repulot. Amikor ez a shock wave
athalad folotted akkor hallod a hangrobbanast.

           / shock wave
          /
         /

        |
repulo -+--+
        |

        \
         \
          \
___________\_________
           ^
      hangrobbanas

>                   - csak szuperszonikus rep.gepeknel kovetkezik be?
Nem. Minden hangsebessegnel gyorsabban mozgo targy produkal ilyet.

>                   - a h.robbanas mennyire veszi igenybe a rep.gepet
>                            ill.az utasokat (pilotat) ?
Nagyon. Erre kulon kell tervezni a gepet.

>                   - egyaltalan mit lehet erezni a gepen?
>                     / Azok a tengeren tul elo lista tagok akik esetleg
>                     repultek mar at az oceant  Concorde tip. geppel
>                      mit tapasztaltak? /   (ugy tudom a Concorde uta-
>                      zo sebessege hangsebesseg folott van )
Ezt nem tudom. Meg sosem ultem a Concorde-on mert nem volt $10,000-om a
jegyre.

>                    - Mas repulo targy eseteben tapasztalhato-e a
>                       jelenseg?  ( pl. agyulovedek; raketa stb.)
Igen.

Geza
+ - Telefon es koszones (mind) VÁLASZ  Feladó: (cikkei)

>  Amikor en ugyfelszolgalatos voltam, elvartak tolem, hogy koszonjek,
> amikor felveszem a telefont es amikor leteszem a telefont. Ez egy
> miniatur cegnel volt, ami mar regesreg nem letezik.
>   A Volanbusz 4852100 szamon tevekenykedo kisasszonyat meg ettol a
> minicegtol is kirugtak volna. Vajon a Volantol miert nem?

irta La'ng Attila.

Mint "erintett"  (20 eve dolgozom a cegnel) azonnal utanajartam,
pontosabban naponta tobb probatelefont eleresztettem a fenti
szamra, termeszetesen inkognitoban. Minden alkalommal kedves
noi hang jelentkezett, imigyen: "Volanbusz informacio, jo napot
kivanok!" - ez egyebkent nalunk, a nem eppen mini cegnel is
alapkovetelmeny.

Mindamellett elofordulhatott, hogy valakinek rossz napja volt,
esetleg aznap mar tobbszor megkerdeztek tole, hogy a soproni
busz megall-e Miskolcon - ami persze legfeljebb magyarazat,
de nem mentseg, ezert a Volanbusz neveben elnezest kerek
Toled, ha nem az ugyfelnek kijaro udvariassaggal kezeltek.

Amugy, ha valaki ellen  _rendszeres_  panasz erkezik, - legyen
az sofor, penztaros, vagy ugyfelszolgalati telefonos - az a
Volanbusznal se sok kenyeret pusztit.  (Azert nem az elso
panasznal repul, mert ugye az _ugyfelnek_ is lehetett rossz
napja, ha tetszik erteni, mire gondolok....)

Udv mindenkinek: Zoltan
+ - Tengeri csillag (mind) VÁLASZ  Feladó: (cikkei)

kedves Petya,
gratulalok a csillagodhoz,nagyon szep lakas-
vagy furdoszobadisz.
nekunk is van egy (igaz,az Adriarol).Nem csinaltunk
vele semmit,kiszaritottuk es azota  is megvan,kb.
16-17 eve!! Amitol aggodnek,az a porosodas.
Az IKEa-ban lehet kapni specialis kepkereteket,
dobozokat ilyen jellegu targyak kiallitasahoz.
Udv,
Eva
+ - sms (mind) VÁLASZ  Feladó: (cikkei)

Van egy ujabb tippem sms kuldesere, nagyon jol funkcional:
www.totalise.com.au

udv
Vica
+ - ismet 30 perc... (mind) VÁLASZ  Feladó: (cikkei)

Udv!

Az elmult vasarnap (11.12.) sikerult lemaradnom a 30 perc alatt a Fold
korul c. radiomusorrol. Mivel a mult heten ajanlottam, remenykedem, hogy
valaki felvette. Ha igen, es barmilyen forma(tum)ban el tudna nekem
kuldeni, az kerem keressen meg maganban.

Elore is koszonom:

--
  NGabor

e-mail:      #Linux forever! (SuSE 6.4; 2.2.14)
        ***Mindenkiben tehetseg rejtezik. Neha tul jol.***
+ - hangrobbanas + le'gszesz (mind) VÁLASZ  Feladó: (cikkei)

Udvozletem!

Dago erdeklodott:
Masok mellet en is megprobalok valaszolni.
Csak altalanossagban:  a mechanikai rezges terjedesehez kell kozeg,
mivel a reszecskeinek "mocorgasa" valtozik meg a rezges terjedese
kozben. Ezen megvaltoztt viselkedes terjedesi sebesseget
hangsebessegnek szoktak hivni (de nemcsak a hallhato tartomanyra
igaz). Ha csak gazrol, levegorol beszelunk: a reszecskeknek egymassal
utkozeseken keresztul tartjak a kapcsolatot. Vagyis, ha
hangsebessegnel nagyobb sebessegu valtozas tortenik koztuk, azt mar
nem tudjak "idoben" tovabbadni a szomszednak, hanem csak lemaradva.
(Valahogy igy duzzad a pletyka is :)

                  - csak szuperszonikus rep.gepeknel kovetkezik be?

Mindennel, es mindenkinel, abban a pillantban, mikor atlepi a kozegben
ervenyes hangsebesseget (szuperszonikussa valik).

                  - a h.robbanas mennyire veszi igenybe a rep.gepet
ill.az utasokat (pilotat) ?

Mivel ok nem halljak (gyorsabbak, mint a hangjuk) igy semmifele levego
altal kozvetitett mechanikai hatas nem jut el hozzajuk (a fentiek
ertelmeben), szoval,  valoszinuleg nem nagyon :).

                  - egyaltalan mit lehet erezni a gepen?
                    / Azok a tengeren tul elo lista tagok akik esetleg

                    repultek mar at az oceant  Concorde tip. geppel
                     mit tapasztaltak? /   (ugy tudom a Concorde uta-
                     zo sebessege hangsebesseg folott van )

Na, ezt nem tudom, de gyanitom, hogy nem lehet jelentos valtozas,
mivel a motorok hangja a gep szerkezeten keresztul ugyis eljut az
utasokig (acelban, femben sokkal gyorsabban terjed a hang, mint a
levegoben) esetleg a gep repulesi tulajdonsagai valtoznak meg.

                   - Mas repulo targy eseteben tapasztalhato-e a
                      jelenseg?  ( pl. agyulovedek; raketa stb.)

Valoszinuleg igen, de egy jobbfele pisztolybol, puskabol boven
hangsebesseg (kb 340 m/s) folott jon ki a golyo: a loves hangja
biztosan elnyomja. Valoszinuleg a pisztolyra valo hangtompito tervezoi
gondolkodtak ezen a kerdesen, ha egyaltalan figyelembe vettek.

                   - Hangsebesseg folott aramlo gazok eseten miert nem
tapasztalhato semmifele hanghatas?

Ezt nem ertem, szerintem sivitanak azok rendesen, csak gyozd befogni a
fuled.

Vegul, ha akarsz igazi "ungarische" hangrobbanast hallani, fulelj,
mikor cserditenek a karikassal. Az akkor hallhato durranas is
hangrobbanas. Mikor a gulyas cserdit egyet az ostor vege hirtelen
megrordul es szembemegy az altala addig megmozgatott levgovel, igy az
egymashoz viszonyitott sebesseguk tullepi a hangsebesseget es az ostor
vegen levo bojtnal hangrobbanas kovetkezik be.

Ja es multkor lemaradt a gazlo temahoz kuldott irasom, roviden: a
szazad elejen a gaztuzhelyet
le'gszeszfo"zo"nek hivtak a reklamjaban, vagyis akkor meg nem ismertek
a magyar nyelvben a "ga'z" szot.

Valkai Sandor
+ - Erdekes nyelv a magyar... (mind) VÁLASZ  Feladó: (cikkei)

> > Az anyanyelvunk sajnos szennyezett,
>
>   Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato allitmanykent,
> bocsanat. _Szennyezve van._

Ez a furo kopott. Vagy esetleg kopva van? :))) Ugyan milyen
kulonbseg lenne a szennyezett es a kopott hasznalata kozott, es
foleg: honnan kene ezt tudni?
+ - S.O.S (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok Tipp-elok!

Egy kerdessel fordulok hozzatok, adjatok nekem tanacsot!!!

Elvaltam majdnem egy eve, mert a ferjem ivott, nem dolgozott, stb.,stb.

Ezek utan a volt ferjem csaladja szemeben, termeszetesen fekete barany
lettem. A kapcsolat a volt ferjemmel azota nem mondhato rossznak, de
nem is vagyunk "puszi pajtasok". A csaladjaval viszont azota egy szot
sem valtottam.

A minap meghalt a volt ferjem edesapja. A temetesre szeretnek elmenni,
hiszen a valas elott nagyon jo volt a viszonyom az aposommal, na meg az
unokaja (a lanyom) miatt is illene elmennem.
Ma felhivott a volt ferjem es normalis emberi hangnemben azt mondta,
hogy a rokonai biztosan nem vennek jo neven ha ott lennek, nem akar
cirkuszt, tehat jobb lenne ha nem mennek el.
Mit tegyek?
A szuleim szerint: "inkabb azt mondjak rad, hogy VOLT keped elmenni,
minthogy azt, hogy NEM VOLT keped elmenni.

En sem akarok cirkuszt egy temetesen, de igazat adok a szuleimnek is.
Felek, hogy jelenetet rendeznek, es ezt a gyerek miatt sem akarom.
Viszont ha nem megyek el, akkor azert szolnak meg.

Olyan ez mint a kisnyuszi esete: ha van rajta sapka azert, ha nincs
akkor azert...

A temetes szerdan lesz, a koszorut mar megrendeltem, ADJATOK TANACSOT!!!


Elore is koszi!

Kata
+ - Olcso kocsiberles Budapesten? (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!

    Volt mar szo rola, de akkor sajnos nem jegyeztem meg a
valaszokat: az lenne a kerdesem, hol lehet Budapesten
viszonylag olcson kocsit berelni?
    Ugy remlik, hogy korabban volt valamikor szo arrol, hogy
lehet valahol regebbi keleti kocsikat kivenni (talan Trabantot?),
de nem emlekszem pontosan. Van valakinek erre vonatkozo
tippje?

    Elore is koszonom:

                                            Zsolt
+ - Leonida-hullocsillagzapor? (mind) VÁLASZ  Feladó: (cikkei)

A kozelgo meteorzapor alkalmabol megujultak Leonida-oldalaink!
Friss informaciok a hullas lefolyasarol, a varhato meteor-darabszamokrol,
a latvanyrol. Leonida-kepgaleria, linkgyujtemeny, s egy kis elmeleti hatter
a meteorok, meteorrajok vilagarol.

Tehat:                   http://leonidak.mcse.hu

A kedelyek "elozetes lecsillapitasa" vegett fontosnak tartjuk hangsulyozni:
ne legyen senkinek se illuzioja, hogy az iden lesz a "vilagmindenseg
leglatvanyosabb meteorzapora". Az utolso negyed elott allo, azaz meglehetosen
fenyes Hold a varhato maximum kornyeken eppen azon a teruleten tartozkodik az
egen, ahonnan a Leonidak raj meteorjai erkeznek. Fenye elnyomja a halvany
hullocsillagok tomegenek latvanyat. Mindazonaltal jo ido eseten es kis
szerencsevel nemileg tobb hullocsillagot lathatunk pentek es szombat
hajnalban, mint az ev mas idoszakaiban.

                                          Tepliczky Istvan (Tepi), titkar
                                           Magyar Csillagaszati Egyesulet
                                                (http://www.mcse.hu)
+ - szennyezve van (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

>> Az anyanyelvunk sajnos szennyezett,
>
>  Ez valo igaz, ugyanis a "szennyezett" nem hasznalhato
>allitmanykent,
>bocsanat. _Szennyezve van._

>La'ng Attila D. >

Akkor neked a kovetkezo peldamondat is biztosan nagyon
tetszik:

A macska fel van maszva a fara, le van logva a farka es el
van nyavogva magat.

:-)))


Max
+ - Infralampa eleterol Valkai Sandornak (mind) VÁLASZ  Feladó: (cikkei)

Sanyi!

Vedd figyelembe, hogy az izzolampa "csucsra van jaratva", magas hofokon,
en 1800-ra tippelek,  mig az infralampa alig hajt ezerrel, mert ott a feny
nem
annyira lenyeges (ha kicsi is, de hoveszteseg  mar akkor is van,  ha az
izzot csak annyira taplaljuk, hogy meg nem is vilagit! ;-)
Tovabb tart tehat a szokasos izzolampanal (kemeny targgyal valo utkozes
kivetel ;-)

Udv
Oliver
+ - [HIRDETES] elado akvariumok (mind) VÁLASZ  Feladó: (cikkei)

Ujabb elado akvariumokrol lehet
olvasni es fotot nezegetni a
www.nexus.hu/kicsikrisz
cimen!
Egyeb info:


Tisztelettel:
Krisz
+ - [HIRDETES] ZEPTER Biotron (mind) VÁLASZ  Feladó: (cikkei)

Elado egy svajci ZEPTER Biotron lampa (eredetileg 90k HuF),
egy szinterapias keszlet (eredetileg 60k HuF) es egy maszirozo gep
(uj peldany ara: 20k HuF) tovabba a kezeleseket leiro 3 konyv es a
kezelesekhez hasznalando spary. (Summa: tokkal-vonoval)
	Egyszer hasznalt, kiprobalt.

	ORVOSOKNAK, vagy betegeknek!

				Ara -osszesen- : 120 EZER magyar forint
+ - [HIRDETES] Elado Polski 126-os (mind) VÁLASZ  Feladó: (cikkei)

Mustarsarga szinu, 1990. decemberi, elso tulajdonostol, 72.000 km-rel, friss
muszakival.
Erdeklodni lehet: 309-920-737 Nagy Attila
+ - [HIRDETES] Elado cuccok (mind) VÁLASZ  Feladó: (cikkei)

ELADO:
PRACTICAR teleobjektiv: 70-210 mm, f: 4.0-5.6.
  1 eves, de nem hasznalt. Ara: 25 000 Ft
BORKABAT:  Bekebeli, fekete ferfi borkabat jo allapotu. Kb 180  magassagra.
Ar: 15 000 Ft
MODEM:  Diamond SupraExpress 56e Pro kulso modem elado eredeti csomagban,
meg 52  honap (nem kamu!) garanciaval.  Ara: 18000 Ft
  Erd:(20) 341 51 40
+ - [HIRDETES] Epitkezesbol cuccok eladok (mind) VÁLASZ  Feladó: (cikkei)

Epitkezesbol megmaradt:

1, Terranova L092 nemesvakolat, finomszemcses, 2 zsak
2, Oltott mesz , 2 zsak
3, Cement , 1 zsak

Elado, termeszetesen a gyari/bolti ar alatti aron.
A cucc Godollon van, erdeklodi a fent lathato emilcimen vagy a
06-30/2744-305on lehet

Udv
Franky
+ - [HIRDETES] elado lakas csepelen (mind) VÁLASZ  Feladó: (cikkei)

Csepel zoldovezeteben, 2 es fel szobas, nem panel, gazkonvektoros,
parkettas, 5 eve teljesen felujitott, parabolas, napfenyes, harmadik emeleti
lakasunkat eladjuk. (IKEA konyha, gyonyoru beepitett butorok stb.) Februari
koltozesssel. Ha erdeklodsz, gyere es nezd meg. Ar: 8,5 Mio. Tel: 420-5781

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS